Paso5 Francisco Chavez Florez

Paso 5 - Componente Práctico - Sesión 1 (Parte infividual) Presentado por: Francisco Javier Chávez Flórez Código: 10802

Views 130 Downloads 0 File size 5MB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

Paso 5 - Componente Práctico - Sesión 1 (Parte infividual)

Presentado por: Francisco Javier Chávez Flórez Código: 1080262056

Grupo 243004_33 Curso ELECTRONICA DIGITAL Tutor: Mario Ricardo Arbulu

Universidad Nacional Abierta y a Distancia UNAD Escuela de Ciencias Básicas, Tecnología e Ingeniería -ECBTI Noviembre 2017

Actividades: Realizar el diseño a nivel de diagrama de bloques y la implementación en VHDL de los siguientes circuitos digitales. Ejercicios a resolver. 1. Diseñe un contador ascendente módulo N, donde N corresponde a los dos últimos dígitos de su Cédula de Ciudadanía. (Si es mayor que 31 se usan 8 bit) El diseño debe incluir: a.

Un diagrama de bloques.

Numero de cedula: (1080262056) Dos últimos dígitos: 56 Como 2𝑛 − 1 es el maximo de cuenta, donde “n” es igual al numero de bit, para este caso: (Si es mayor que 31 y menor que 63, para lo cual se usan 8 bit), Podemos usar 2𝑛 − 1 = 26 − 1 = 2*2*2*2*2*2= 64 -pero para este ejemplo emplearemos 2𝑛 − 1 = 28 − 1 = 2*2*2*2*2*2*2*2= 256

b.

Un pantallazo con la descripción en VHDL

Scrip en software vivado: Resumen de la descripcion en vhdl ----------------------------------------------------------------------------------- Company: UNAD -- Engineer: FRANCISCO CHAVEZ FLOREZ -- Create Date: 12.11.2017 16:34:29 ---------------------------------------------------------------------------------library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all;

entity ContadorAsN is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; salida : out STD_LOGIC_VECTOR (7 downto 0)); end ContadorAsN; architecture Behavioral of ContadorAsN is signal D, Q : STD_LOGIC_VECTOR (7 downto 0); begin process (clk) begin if clk'event and clk='1' then if reset='1' then Q