PISO - Verilog

8/9/2015 Design of Parallel IN ­ Serial OUT Shift Register using Behavior Modeling Style (Verilog CODE). ~ Verilog Prog

Views 152 Downloads 41 File size 329KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

8/9/2015

Design of Parallel IN ­ Serial OUT Shift Register using Behavior Modeling Style (Verilog CODE). ~ Verilog Programming By Naresh Singh Dobal

 

HOME

VERILOG PROGRAMS

VHDL

Projects

PROJECTS

TUTORIALS

ASSIGNMENTS

ABOUT US

Design of Parallel IN ­ Serial OUT Shift Register using Behavior Modeling Style (Verilog CODE).  23:36 

FaceBook Likes Like

VERILOG DESIGNS

 Naresh Singh 

Share 28,166 people like this.

 

Search

CONTACT US

 

TESTIMONIAL

Search Here Search

 2 comments  

 

 

 

+2   Recommend this on Google

Followers Join this site with Google Friend Connect

Email Subscribe Email address...

Members (28)  More » Submit

Powered by Blogger.

Design of Parallel In ­ Serial OUT Shift Register using Behavior Modeling Style ­

About Me

Already a member? Sign in

Digital clock

NARE S H  S I NGH  Follow

22:38:49

78

V I E W  M Y   COM P LE T E P ROF I LE Output Waveform :  Parallel IN ­ Serial OUT Shift Register

Total Pageviews  

280,550

Popular Posts Design of Parallel IN ­ Serial OUT Shift Register using Behavior Modeling Style (Verilog CODE). Design of Parallel In ­ Serial OUT Shift Register using Behavior Modeling Style ­ Output Waveform :  Parallel IN ­ Serial OUT Shi... 4 to 1 Multiplexer Design using Logical Expression (Verilog CODE) 4 to 1 Multiplexer Design using Logical Expression  (Data Flow Modeling Style)­ Output Waveform : 4 to 1 Multiplexer Program ­ ... 1 : 4

Demultiplexer Design using Gates (Verilog CODE).

Verilog CODE ­

Archives ▼  2013 ( 108 ) ►  November ( 8 )

//­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­ // // Title       : parallel_in_serial_out // Design      : vhdl_upload2 // Author      : Naresh Singh Dobal // Company     : [email protected] // Verilog HDL Programs &  Exercise with Naresh Singh Dobal. // //­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­ // // File        : Parallel IN ­  Serial OUT Shift Register.v

module parallel_in_serial_out ( din ,clk ,reset ,load ,dout ); output dout ; reg dout ; input [3:0] din ; wire [3:0] din ; input clk ; wire clk ;

http://verilogbynaresh.blogspot.in/2013/07/design­of­parallel­in­serial­out­shift.html

▼  July ( 100 ) The Three Basic Element inside a Computer Chip ­ Let's start with making a Semiconductor Chip ­ Let's Know about our Semiconductor Industry ­ Computer Chips are Every­Where (Application of Ele... Very Important ACRONYMS & TERMS of Semiconductor I... Electronics ­ Trends Setting Points World of Integrated Chips AND Electronic Design ­ Design of 8 to 3 Parity Encoder using if ­ else sta...

1/5

8/9/2015

Design of Parallel IN ­ Serial OUT Shift Register using Behavior Modeling Style (Verilog CODE). ~ Verilog Programming By Naresh Singh Dobal

1 : 4   Demultiplexer Design using Logical Gates (Data Flow Modeling Style)­ Output WaveForm : 1 : 4 Demultiplexer Program­ //­... Design of 4 Bit Comparator using Behavior Modeling Style (Verilog CODE) Design of 4 Bit Comparator using Behavior Modeling Style ­ Output Waveform : 4 Bit Comparator Design Verilog CODE ­ //­... Design of JK Flip Flop using Behavior Modeling Style (Verilog CODE) ­ Design of JK Flip Flop using Behavior Modeling Style ­ Output Waveform :   JK Flip Flop Verilog CODE ­ //­­­­­­­­­ ­­­­­­­­... Design of Frequency Divider (Divide by 10) using Behavior Modeling Style (Verilog CODE) ­ Design of Frequency Divider (Divide by 10) using Behavior Modeling Style ­ Output Waveform :   Frequency Divider (Divide by 10).... Design of 4 Bit Adder using 4 Full Adder Structural Modeling Style (Verilog Code) Design of 4 Bit Adder using 4 Full Adder (Structural Modeling Style) ­ Output Waveform :  4 Bit Adder using 4 Full Adder Verilog... Design of Serial In ­ Serial Out Shift Register using D Flip Flop (Structural Modeling Style) (Verilog CODE). Design of Serial IN  ­ Serial OUT Shift Register using  D Flip Flop (Structural Modeling Style).. Output Waveform :  Serial IN  ­  ...

input reset ; wire reset ; input load ; wire load ;

Design of 8 : 3 Parity Encoder using conditional o... Design of 8 nibble queue using Behavior Modeling S...

reg [3:0]temp;

Design of 8 nibble Stack using Behavior Modeling S...

always @ (posedge (clk)) begin  if (reset)   temp