Laboratorio flipflops

Laboratorio Nº 04: LATCH, FLIPFLOPS, MÁQUINAS DE ESTADOS FINITOS Facultad de Ingeniería Eléctrica y Electrónica, Univers

Views 493 Downloads 77 File size 765KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

Laboratorio Nº 04: LATCH, FLIPFLOPS, MÁQUINAS DE ESTADOS FINITOS Facultad de Ingeniería Eléctrica y Electrónica, Universidad Nacional de Ingeniería INTRODUCCIÓN

El siguiente Informe Previo muestra básicamente aplicar el algebra de boole , los cuales buscan la simplificacion e implementacion de funciones y conversion de codigos que es un elemento lógico que traduce una palabra de "n" bits a otra de "m" bits las cuales se refieren al mismo valor decimal en general la utilización de las funciones y codigo nos servirá como base para el desarrollo del curso OBJETIVO El laboratorio de acuerdo a sus experimentos tiene como finalidad:   

Compruebe el funcionamiento de los flip flops en Máquinas de Estados Finitos. Compruebe el funcionamiento de los flip flops en Registros y Contadores. Compruebe el funcionamiento de memorias tipo 2716 y/o 2732, así como la 6116 (RAM ESTÁTICA). TEORÍA A. Circuitos integrados Un circuito integrado (CI), también conocido como chip o microchip, es una estructura de pequeñas dimensiones de material semiconductor, de algunos milímetros cuadrados de área, sobre la que se fabrican circuitos electrónicos que está protegida dentro de un encapsulado de plástico o cerámica.

Field Code Changed Field Code Changed Field Code Changed Field Code Changed Field Code Changed

B. Algebra de boole El álgebra de Boole difiere de manera importante del algebra ordinaria en que las constantes y variables booleanas solo pueden tomar 2 valores posibles 0 o 1 . una variable booleana es una cantidad que puede en diferentes ocasiones, ser igual a 0 o 1.las variables booleanas se emplean con frecuencia para representar el nivel de voltaje presente en un alambre o en los terminales de entrada y salida de un circuito.

C. Comparadores Los comparadores son circuitos combinacionales capaces de comparar dos combinaciones presentes en sus entradas indicando si son iguales o diferentes; en caso de ser diferentes, indican cuál de las dos es mayor. Tienen tres salidas que indican el resultado de la comparación: A=B, AB. El procedimiento para comparar dos datos binarios consiste primero en comparar el bit más significativo de cada uno de ellos, si éstos son iguales, se compara el siguiente bit más significativo y así sucesivamente hasta encontrar una desigualdad que indica cuál de los datos es mayor o menor. Si se comparan todos los bits de ambos datos y no hay desigualdad entre ellos, entonces evidentemente son iguales.

Tabla de verdad del comparador de 4 bits 74LS85(Uno de los CI comparadores más utilizados). D. Sumadores Un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan las operaciones aritméticas en código binario decimal o BCD exceso 3, por regla general los sumadores emplean el sistema binario. En los casos en los que se esté empleando un complemento a dos para representar números negativos el sumador se convertirá en un sumador- substractor (Adder-subtracter). Tipos de sumadores: Half-adder. Full-Adder. Metodo Ripple Carry-Look-Ahead. Carry-select.

E. Compuerta Open Colector Las compuertas con colector o drenador abierto, son un tipo de compuertas lógicas cuya salida esta externalizada, es decir abierta o sin resistencia en el colector del transistor de salida. Al realizar este tipo de circuito integrado, se deja la posibilidad al usuario de utilizar el valor de resistencia apropiado según sus necesidades y requerimientos de diseño. Una aplicación importante que tienen estas compuertas de colector abierto o drenador abierto en una práctica es como BÚFER-CONTROLADOR, es decir un circuito lógico diseñado capaz de obtener grandes corrientes y voltajes que no se pueden obtener en un circuito lógico común por tanto un circuito débil excite una carga a la salida pesada por tanto de esta manera se pueden controlar corrientes y voltajes grandes. F. Decodificadores Un codificador es un circuito combinacional con 2N entradas y N salidas, cuya misión es presentar en la salida el código binario correspondiente a la entrada activada. Existen dos tipos fundamentales de codificadores: codificadores sin prioridad y codificadores con prioridad. En el caso de codificadores sin prioridad, puede darse el caso de salidas cuya entrada no pueda ser conocida: por ejemplo, la salida 0 podría indicar que no hay ninguna entrada activada o que se ha activado la entrada número 0. Además, ciertas entradas pueden hacer que en la salida se presente la suma lógica de dichas entradas, ocasionando mayor confusión. Por ello, este tipo de codificadores es usado únicamente cuando el rango de datos de entrada está correctamente acotado y su funcionamiento garantizado. Para evitar los problemas anteriormente comentados, se diseñan los codificadores con prioridad. En estos sistemas, cuando existe más de una señal activa, la salida codifica la de mayor prioridad (generalmente correspondiente al valor decimal más alto). Adicionalmente, se codifican dos salidas más: una indica que ninguna entrada está activa, y la otra que alguna entrada está activa. Esta medida permite discernir entre los supuestos de que el circuito estuviera deshabilitado por la no activación de la señal de capacitación, que el circuito no tuviera ninguna entrada activa, o que la entrada número 0 estuviera activada. G. Multiplexores Los multiplexores son circuitos combinacionales con varias entradas y una única salida de datos, están dotados de entradas de control capaces de seleccionar una, y sólo una, de las entradas de datos para permitir su transmisión desde la entrada seleccionada hacia dicha salida. En el campo de la electrónica el multiplexor se utiliza como dispositivo que puede recibir varias entradas y transmitirlas por un medio de transmisión compartido. Para ello lo que hace es dividir el medio de transmisión en múltiples canales, para que varios nodos puedan comunicarse al mismo tiempo.

Una señal que está multiplexada debe demultiplexarse en el otro extremo. Según la forma en que se realice esta división del medio de transmisión, existen varias clases de multiplexación: Multiplexación por división de frecuencia Multiplexación por división de tiempo Multiplexación por división de código Multiplexación por división de longitud de onda H. LATCH Un latch (late memory inglet) es un circuito electrónico biestable asíncrono usado para almacenar información en sistemas lógicos digitales. Un latch puede almacenar un bit de información, asimismo los latches se pueden agrupar de tal manera que logren almacenar mas de 1 bit, por ejemplo el 'latch quad ' (capaz de almacenar cuatro bits) y el 'latch octal' (capaz de almacenar ocho bits). Los latches son dispositivos biestables asíncronos que no tienen entrada de reloj y cuyo cambio en los estados de salida es función del estado presente en las entradas y de los estados previos en las salidas (retroalimentación). Los latches a diferencia de los flip-flops no necesitan una señal de reloj para su funcionamiento.

I.

FLIP-FLOPS

Un biestable (flip-flop en inglés), es un multivibrador capaz de permanecer en uno de dos estados posibles durante un tiempo indefinido en ausencia de perturbaciones.1 Esta característica es ampliamente utilizada en electrónica digital para memorizar información. El paso de un estado a otro se realiza variando sus entradas. Dependiendo del tipo de dichas entradas los biestables se dividen en: Asíncronos: solamente tienen entradas de control. El más empleado es el biestable RS. Síncronos: además de las entradas de control posee una entrada de sincronismo o de reloj. Si las entradas de control dependen de la de sincronismo se denominan síncronas y en caso contrario asíncronas. Por lo general, las entradas de control asíncronas prevalecen sobre las síncronas.

La entrada de sincronismo puede ser activada por nivel (alto o bajo) o por flanco (de subida o de bajada). Dentro de los biestables síncronos activados por nivel están los tipos RS y D, y dentro de los activos por flancos los tipos JK, T y D. Los biestables síncronos activos por flanco (flip-flop) se crearon para eliminar las deficiencias de los latches (biestables asíncronos o sincronizados por nivel). J. Máquinas de estados finitos (FSM) Las máquinas de estados finitos, cuyo nombre proviene del hecho de que el comportamiento funcional de estos circuitos puede representarse mediante un número finito de estados, son una clase general de circuitos en los que las salidas dependen de la conducta anterior del circuito, así como de los valores presentes en las entradas. También se denominan circuitos secuenciales. En la mayor parte de los casos se usa una señal de reloj para controlar la operación de un circuito secuencial; un circuito de este tipo se llama circuito secuencial síncrono. La alternativa, en la que no se emplea ninguna señal de reloj, se denomina circuito secuencia asíncrono. Es más fácil diseñar circuitos síncronos y se utilizan en la inmensa mayoría de las aplicaciones prácticas. Estos circuitos son el tema del presente trabajo.

Los circuitos síncronos secuenciales se realizan usando la lógica combinacional y uno o más flip-flops. Su estructura general se muestra en la figura siguiente. El circuito obtiene una serie de entradas principales, W, y produce una serie de salidas, Z. Los valores de las salidas de los flip-flops se conocen como el estado, Q, del circuito. Bajo el control de la señal de reloj, las salidas de los flip-flops cambian su estado según lo determina la lógica combinacional que alimenta las entradas de esos flip-flops. De esta manera el circuito pasa de un estado a otro. Para asegurar que sólo hay una transición de un estado a otro durante un ciclo del reloj, los flip-flops deben ser del tipo disparado por flanco.

EQUIPOS Y MATERIALES Los materiales a utilizar en el laboratorio son:  

      

1 Fuente de alimentación regulada +5VDC Circuitos integrados: 7401, 7405, 7407, 7409, 7417, 7433, 7447, 7448, 7485, 74125, 74126, 74138, 74151, 74173, 74LS283, 74LS290, 74HC00, 74HC04, 4050, 7476, 74190, 74193, 2716, 6116, 4116, 2114. Resistencias de 330 Ω , ¼ W. Diodos LED. Display de 7 segmentos. Protoboard. Cable telefónico para conexiones. 1 Alicate de punta y 1 alicate de corte. Multímetro DESARROLLO DE LA EXPERIENCIA

1. Compruebe en el Laboratorio el funcionamiento de los siguientes dispositivos de memoria: Latch SET; Latch RESET; Latch SET-RESET, construido con puertas NOR; Latch SET-RESET, construido con puertas NAND. Latch S-R construido con compuertas NOR y controlado por compuertas y Latch S-R construido con compuertas NAND y controlado por compuertas. Latch SR con compuertas NOR

TABLA DE VERDAD S 0 0 1 1

R 0 1 0 1

Q Q 0 1 X

Q’ Q’ 1 0 X

Latch SR con compuertas NAND

TABLA DE VERDAD S 0 0 1 1

R 0 1 0 1

Q’ X’ 0 1 Q’

Q X 1 0 Q

Latch SR con compuertas NAND controlado por compuertas

TABLA DE VERDAD EN 0 1 1 1 1

S X 0 0 1 1

R X 0 1 0 1

Q Q Q 0 1 X

Q’ Q’ Q’ 1 0 X

Latch SR con compuertas NOR controlado por compuertas

TABLA DE VERDAD EN 0 1 1 1 1

S’ X 0 0 1 1

R’ X 0 1 0 1

Q Q X 0 1 X

Latch SET

TABLA DE VERDAD S 0 1

Q X 1

Q’ X 1

Q’ Q’ X 1 0 X

Latch RESET

TABLA DE VERDAD R 0 1

Q 0 X

Q’ 0 X

2. Implemente el circuito de la figura. Coloque la línea de inicio a “0” y luego colóquela a “1”. ¿Cuál es la secuencia seguido por QBQA?. Retorne la línea de inicio a “0”. ¿Qué ocurre en la salida al recibir más pulsos de reloj?. Coloque en la salida de QAQB leds para observar la secuencia de salida y lo que ocurre al recibir más pulsos de reloj.

SOLUCION: Cuando la línea de inicio está a “0”, esta activa el reset de Qa y Qb, lo cual hace que sus salidas estén a cero sin importar las entradas. Cuando la línea de inicio se pone a “1” se observa la siguiente secuencia

Si se retorna la línea de inicio a cero , tanto Q1 como Q2 volverán a nivel “0” por estar activado el reset. Se puede observar también que este circuito es un contador binario de 0 a 4.

3. Para el diagrama de estados mostrado,

halle: a. b. c. d. e.

Tabla de estados Tabla de estados reducida Implemente el circuito con FF J-K Halle la secuencia de estados cuando x=0. Halle la secuencia de estados cuando x=1.

SOLUCION: a) Se realiza la tabla de estados X=0 3/0 6/0 1/0 2/0 5/1 5/1 0/0

0 1 2 3 4 5 6

X=1 5/1 0/0 1/0 5/1 2/0 4/0 2/0

b) Como se observa en la tabla anterior, esta no se puede reducir más , por lo tanto se trabajará con ella. c)Se realiza la tabla de excitación Q2 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

Q1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

Q0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

X 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Q*2 0 1 1 0 0 0 0 1 1 0 1 1 0 0 X X

Q*1 1 0 1 0 0 0 1 0 0 1 0 0 0 1 X X

Q*0 1 1 0 0 1 1 0 1 1 0 1 0 0 0 X X

Z 0 1 0 0 0 0 0 1 1 0 1 0 0 0 X X

J2K2 0X 1X 1X 0X 0X 0X 0X 1X X0 X1 X0 X0 X1 X1 XX XX

J1K1 1X 0X 1X 0X X1 X1 X0 X1 0X 1X 0X 0X X1 X0 XX XX

J0K0 1X 1X X1 X1 1X 1X X1 X0 1X 0X X0 X1 0X 0X XX XX

Mediante el mapa de karnaugh hallamos las expresiones para J0,J1,J2,K0,K1,K2 Para Jo:

J0=𝑄̅ 2 + 𝑄2𝑄̅ 1𝑋̅

Para K0:

K0=𝑄̅ 2𝑄̅1 + 𝑄̅ 1𝑄0𝑋 + 𝑄1𝑄0𝑋̅ = 𝑄̅ 2𝑄̅1 + 𝑄0(𝑄1 𝑋𝑂𝑅 𝑋) Para J1:

J1=𝑄̅ 2𝑋̅ + 𝑄2𝑄̅ 0𝑋

Para K1:

K1=𝑄̅ 0𝑋̅ + 𝑄̅ 2𝑋 Para J2:

J2=𝑄̅ 1𝑄̅ 0𝑋 + 𝑄̅ 1𝑄0𝑋̅ + 𝑄1𝑄0𝑋 = 𝑄1(𝑄0 𝑋𝑂𝑅 𝑋) + 𝑄1𝑄0𝑋

Para K2:

J2= 𝑄1 + 𝑄̅ 0𝑋 Para Z:

Se procede a armar el circuito

d) Cuando x=0 (Se utilizó una señal cuadrada de 1khz unipolar como señal de clock)

e) Cuando x=1(Se utilizó una señal cuadrada de 1khz unipolar como señal de clock)

4. Diseñe e implemente en el laboratorio, un circuito secuencial utilizando FF tipo D, conectados en cascada, de modo que desplace un bit hacia la derecha y recircule continuamente. Si se quiere mandar el bit “1” se presiona el pulsador 1 que hace que Q0=1 y Q1=Q2=Q3=0, con lo cual el D1 del FF1 también estará en 1. Cuando se aplica el primer impulso de reloj Q1=1 ,al igual que el D2 del FF2, con el siguiente impulso Q2=1 al igual que D3 del FF3.Cuando se aplique otro impulso Q3=1, pero además, debido a la realimentación que se da hacia el FF0, D0 del FF0 serà 1, con lo cual el valor del bit no se pierde y sigue circulando de la forma como se explicó. Si luego se quisiera mandar un “0”, lo que se tiene que hacer presionar el pulsador 2 , que hará que Q0=0 y Q1=Q2=Q3=1 , el proceso es análogo al primer caso , solo que en este caso se manda un “0”

5. Construya a partir de latches S-R construidos con compuertas NOR o NAND, comprobados anteriormente, los siguientes FF: S-R, D, J-K y T. Compruebe su funcionamiento utilizando el timer 555 como reloj (configuración astable). Visualice Q y Q’, las salidas de los FF visualizadas en LED’s. Flip Flop SR Se diseña a partir del latch SR con enable, solo que esta vez la entrada “enable” va conectada a un detector de transición de impulso conformado por dos NOT , 1 NAND y la señal de reloj.

Flip Flop D Se realiza la tabla característica del flip flop tipo D y se le agrega y se le adiciona la tabla de excitación del flip flop SR. D 0 0 1 1

Q 0 1 0 1

Q* 0 0 1 1

S 0 0 1 X

Por simple inspección se observa: S=D , R=D’ Entonces el circuito seria el siguiente:

R X 1 0 0

Flip Flop J-K A partir del flip flop D implementado anteriormente, se crea el J-K J 0 0 0 0 1 1 1 1

K 0 0 1 1 0 0 1 1

Q 0 1 0 1 0 1 0 1

Q’ 0 1 0 0 1 1 1 0

Se realiza el mapa de Karnaugh Para D:

D=JQ’ + K’Q Se implementa el circuito:

D 0 1 0 0 1 1 1 0

Flip flop T: Se halla a partir de un flip flop JK T 0 0 1 1

Q 0 1 0 1

Q* 0 1 1 0

Por simple inspección: J=T , K=T Implementando el circuito

J 0 X 1 X

K X 0 X 1

BIBLIOGRAFÍA [1] [2] [3]

[4] [5] [6] [7] [8]

[9] [10]

John F. Wakerly, Diseño digital, 3era edición. R. M. Marston, Modern TTL Circuits Manual, 1st edition Fuente del navegador http://www.ie.itcr.ac.cr/rsoto/TTL%20Data%20Book%20y%20mas/MANUAL_T TL_esp.pdf Fuente del navegador http://www.ti.com/ Fuente del navegador http://electronicsclub.info/74series.htm Fuente del navegador http://materias.fi.uba.ar/6609/docs/Apunte_Familias1_1.pdf Fuente del navegador http://pdf1.alldatasheet.com/datasheet-pdf/view/8068/NSC/74LS32.html Fuente del navegador http://www.ladelec.com/teoria/electronica-digital/169-simplificacion-decircuitos-logicos Fuente del navegador https://es.wikipedia.org/wiki/Conversor_de_c%C3%B3digo Fuente del navegador http://home.agh.edu.pl/~ostrowsk/teksty/74ls85.pdf