Gua de Laboratorio Digital II 2

Universidad de Ibagué Facultad de Ingeniería Programa de Ingeniería Electrónica Guías de Laboratorio Electrónica Digita

Views 63 Downloads 0 File size 665KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

Universidad de Ibagué Facultad de Ingeniería Programa de Ingeniería Electrónica

Guías de Laboratorio Electrónica Digital II

M.Sc. Ing. Luisa Fernanda Gallo Sánchez

Enero, 2013 Ibagué Colombia

NOTASUniversitarias | 1

ISSN2216-0302

Notas Universitarias Guías de Laboratorio Electrónica Digital II Facultad de Ingeniería Programa de Ingeniería Electrónica Universidad de Ibagué Ibagué, Colombia. Enero de 2013 Presidente del Consejo Superior Luis Enrique Orozco Silva Rector Alfonso Reyes Alvarado Decana de Ingeniería Gloria Piedad Barreto Bonilla © Universidad de Ibagué, 2013 ©Luisa Fernanda Gallo Sánchez, 2013 Dirección Editorial: Oficina de Publicaciones [email protected] Correspondencia Universidad de Ibagué, Oficina de publicaciones Calle 67, Carrera 22. AA. 487 Teléfono: +57 8 2709400 Ibagué -Tolima, Colombia. www.unibague.edu.co

Esta obra no puede reproducirse sin la autorización expresa y por escrito de la Universidad de Ibagué

NOTASUniversitarias | 2

Tabla de contenido Presentación .................................................................................................................................. 4 Laboratorio 1: Conocimiento y aplicación de los flipflops ........................................................... 5 Laboratorio 2: Introducción al VHDL........................................................................................... 8 Laboratorio 3: Diseño de circuitos digitales combinacionales en un cpld a partir de VHDL I ............................................................................................... 10 Laboratorio 4: Diseño de circuitos digitales combinacionales en un CPLD a partir de VHDL II .......................................................................................... 12 Laboratorio 5: Diseño de una ALU de 4 BITS en VHDL ........................................................... 14 Laboratorio 6: Aplicación de los circuitos secuenciales I ........................................................... 16 detectores de secuencia alambrados y programados ............................................................ 16 Laboratorio 7: Aplicación de los circuitos secuenciales II .......................................................... 18 Detección de una clave de 4 digitos ...................................................................................... 18 Laboratorio 8: Aplicación de los circuitos secuenciales III ........................................................ 21 Solución de problemas a partir de máquinas de estado ........................................................ 21 Laboratorio 9: Aplicación de los circuitos secuenciales IV ........................................................ 23 Solución de problemas a partir de máquinas de estado ........................................................ 23 Laboratorio 10: Aplicación de los circuitos secuenciales V ....................................................... 26 Control de un motor paso a paso empleando VHDL ............................................................ 26 Laboratorio 11: Diseño de un contador up/down programable ................................................... 28 con entrada por teclado matricial .......................................................................................... 28 Laboratorio 12: Diseño de una calculadora básica con codificación de las entradas ................ 29 por teclado matricial .............................................................................................................. 29 Laboratorio 13: Diseño de un publik........................................................................................... 31 Laboratorio 14: Aplicación de contadores y registros ................................................................. 33 Diseño de un frecuencímetro autorango ................................................................................. 33 Laboratorio 15: Diseño de un procesador simple empleando microinstrucciones ...................... 35 Laboratorio 16: Diseño de una memoria FIFO ........................................................................... 37 Laboratorio 17:Diseño de un filtro digital ................................................................................... 39 Formato de presentación de informe de laboratorio .................................................................... 41

NOTASUniversitarias | 3

Presentación La asignatura Electrónica digital II en el programa de Ingeniería Electrónica, en la Universidad de Ibagué, se encarga del diseño de sistemas digitales secuenciales y de capacitar al estudiante para que adquiera habilidades para la apropición de las nuevas tecnologías de desarrollo de sistemas digitales. Involucra elementos teórico-prácticos y desarrolla competencias básicas disciplinares. Se centra en el diseño básico de ingeniería a partir de sistemas secuenciales y en la programación sobre Dispositvos lógicos programables en VHDL. La asignatura se imparte en 4 horas teóricas y 2 horas de laboratorio semanales. Estas guías han sido elaboradas con los criterios de formación para la investigación, que deben ser impartidas en las materias fundamentales del plan de estudios, para que el estudiante aplique y afiance directamente los temas aprendidos durante la clase teórica y complemente estos conocimientos con investigaciones adicionales que le permitan solucionar los problemas planteados en las guías que se desarrollan durante el laboratorio y aplique los criterios de ingeniería, en la solución de problemas y la determinación de la mejor solución. El estudiante se compromete a realizar el trabajo previo que está sujeto al problema que se deba resolver y a desarrollar la práctica según el procedimiento descrito con asesoría del docente a cargo. La buena preparación de la práctica garantiza la eficiente utilización de todos los recursos. Al final de cada práctica se debe entregar un informe donde se reflejen los datos y análisis de resultados que se soliciten en la práctica. El formato del mismo será convenido con el docente pero siempre ajustado al formato establecido por la Dirección de Programa. La Universidad garantiza la disponibilidad de los equipos descritos en cada práctica. El estudiante los toma en calidad de préstamo y es de su entera responsabilidad hacer un buen uso a los mismos.

En la vida hay 10 clases de personas, las que saben binario y las que no! Anónimo

NOTASUniversitarias | 4

Laboratorio N1

Conocimiento y aplicación de los flipflops Tiempo Estimado: 2 Sesiones

Objetivos 

Utilizar circuitos lógicos para construir fip-flops S-R, D, J-K y T.



Explicar las diferencias entre los flip-flops mencionados.



Reconocer las diferencias entre un flipflop y un Latch.

Materiales proporcionados por el estudiante 

Protoboard.



Fuente de poder de 5 VDC (Cada grupo debe traer su fuente).



Resistencias, dipswitch (interruptor tipo DIP), etc.

se aconseja emplear este tipo de DIP SWITCH. 

Compuertas lógicas y los circuitos integrados que se indican en la guía.

Materiales proporcionados por la universidad 

Osciloscopio.

Tarea previa 

Defina: Circuito Antirebote y los diferentes tipos de antirebote que se pueden construir. Escoja el que considere más conveniente (emplee criterios de ingeniería para decidirlo), móntelo y pruébelo.

NOTASUniversitarias | 5



Obtenga los materiales de circuitos a montar en la práctica según se indica en el procedimiento, y busque los componentes necesarios para su montaje.



Elabore un procedimiento práctico que le permita analizar y comprobar la tabla de funcionamiento de los diferentes flipflops.



Busque en un manual las características eléctricas del biestable J-K integrado que empleará en la práctica.



Realice la simulación de los circuitos solicitados en el procedimiento, empleando el softwarede simulación PROTEUS, y analice detalladamente las simulacionesobtenidas, antes de realizar el montaje.

Procedimiento

Sesión 1: 1. Monte un circuito antirebote y pruebe su correcto funcionamiento (Este circuito se debe montar en impreso, junto con la tarjeta del ítem 4, ya que se va a emplear en todos los laboratorios del semestre). Para esto, utilice el osciloscopio y determine si efectivamente el circuito implementado, elimina los rebotes producidos por el interruptor (se aconseja emplear pulsadores). Tome fotografías y/o videos, donde se demuestre el efecto que el circuito tiene sobre el suiche. 2. Monte los flip-flops que se indican a continuación empleando compuertas. 

Flip-flop SR (con compuertas NOR)



FlipFlop D

Sesión 2: 3. Monte a partir de un biestable j-k integrado (74112), los siguientes biestables: 

Flip-flop tipo D.



Flip-flop tipo T.

4. Entregue el impreso solicitado durante la sesión 1. Recuerde que la tarjeta debe incluir 3 displays 7 segmentos con su respectivo conversor BCD- 7segmentos , 12 DIP SWITCH y 12 leds, y un teclado matricial. Este circuito tiene el valor de una nota de laboratorio adicional.

NOTASUniversitarias | 6

Informe (Se entrega durante la segunda sesión) 

Redactar un informe donde se incluyan todos los ítems de la tarea previa.



Describir el procedimiento que se siguió para comprobar cada una de las tablas de funcionamiento de los Flip-Flop estudiados.



Anexe todas las gráficas de simulación solicitadas con su respectivo análisis.



Siga las normas para presentación de laboratorios que se indicaron durante primera clase y que aparecen al final de este documento.

NOTASUniversitarias | 7

Laboratorio N2

Introducción al VHDL Tiempo Estimado: 2 Sesiones

Objetivos 

Familiarizar alestudianteconellenguaje de programación VHDL



Emplearel software de programaciónQuartus II enellenguaje VHDL.



Utilizar dispositivos lógicos programablesenlaimplementación de circuitos digitales que permitanlavisualización de eventos.

Materiales proporcionados por el estudiante 

Protoboard



Resistencias, dipswitch, etc.



LEDs



Circuitos integrados necesarios.



Tarjeta construída por El estudiante.

Materiales proporcionados por la universidad 

PC com Quartus II



Sistema de desarrollocon CPLD

Tarea previa Instale el programa Quartus II Reclame el sistema de desarrollo. Lea y siga cuidadosamente el tutorial de Quartus II suministrado en clase.

Procedimiento

1ª sesión. valor (2.0)

NOTASUniversitarias | 8

Realice la compilación y simulación de la arquitectura dataflow o comportamental del circuito asignado y envíe los archivos .VHD (con los respectivos comentarios y el encabezado con el nombre y número de la práctica) y .VWF al correo [email protected], antes de la hora establecida previamente con la docente.

2ª sesión. valor (3.0) - Realice la compilación y simulación de la arquitectura estructural del circuito. - Programe el CPLD, empleando el SISTEMA DE DESARROLLO disponible en el laboratorio. Compruebe el funcionamiento del circuito diseñado sobre el CPLD.

Informe Se entrega durante la segunda sesión Recuerde seguir los pasos y las recomendaciones sugeridas al final de este texto.

Referencias Recomendadas

-

Brown Stephen y otros. Fundamentos de lógica Digital con diseño VHDL. Mc-Graw Hill. 2000. Segunda edición. Apéndices A y B.

-

Plett Johannes. Introducción a VHDL. Pontificia universidad Católica de chile.2005 http://www2.ing.puc.cl/~iee2782/VHDL_v1.2.pdf

-

Mealy Bryan. The Low-Carb VHDL Tutorial. 2004 http://www2.ing.puc.cl/~iee2782/loCarb_VHDL_small.pdf

NOTASUniversitarias | 9

Laboratorio N3

Diseño de circuitos digitales combinacionales en un cpld a partir de VHDL I Tiempo Estimado: 1 Sesión

Objetivos 

Familiarizar al estudiante con el lenguaje de programación VHDL



Emplear el software de programación Quartus II en el lenguaje VHDL.

Materiales proporcionados por el estudiante 

Protoboard



Resistencias, Dipswitch, etc.



LEDs



Circuitos integrados necesarios.



Tarjeta diseñada en la primera guía.

Materiales proporcionados por la universidad 

PC con Quartus II



Sistema de desarrollo con CPLD

Tarea previa Diseñe un circuito en VHDL, con arquitectura comportamental, que funcione de forma idéntica al comparador de magnitud 7485, según la tabla que se muestra a continuación. La función debe estar totalmente simulada cuando comience el laboratorio.

NOTASUniversitarias | 10

Procedimiento 

Diseñe el algoritmo en VHDL del 7485



Simule y chequee el correcto funcionamiento de la función de acuerdo con la tablade funcionamiento del circuito integrado.



Programe el CPLD, empleando el SISTEMA DE DESARROLLO disponible en el laboratorio.



Compruebe el funcionamiento del circuito diseñado sobre el CPLD.

Referencia Recomendada

http://www.alldatasheet.com/datasheet-pdf/pdf/64085/HITACHI/HD74LS85.html

Informe Recuerde seguir los pasos y las recomendaciones sugeridas al final de este texto.

NOTASUniversitarias | 11

Laboratorio N4

Diseño de circuitos digitales combinacionales en un CPLD a partir de VHDL II Tiempo Estimado: 2 Sesiones

Objetivos 

Familiarizar al estudiante con el lenguaje de programación VHDL



Emplear el software de programación Quartus II en el lenguaje VHDL.



Utilizar dispositivos lógicos programables en la implementación de circuitos digitales que permitan la visualización de eventos

Materiales proporcionados por el estudiante 

Protoboard



Resistencias, dipswitch, etc.



LEDs



Circuitos integrados necesarios.



Tarjeta diseñada en la primera guía.

Materiales proporcionados por la universidad 

PC com Quartus II



Sistema de desarrollocon CPLD

Problema 

-Realice el diseño de un Conversor de un código binario (8 bits) a 3 Dígitos BCD, empleando VHDL y el software Quartus II de Altera y visualice el resultado en tres displays de 7 segmentos.



Simule e implemente el circuito diseñado, como se observa en la figura.

Nota: El algoritmo diseñado se debe basar en el método visto en clase (shit-add3). No se admiten códigos a partir de tabla de conversión directa.

NOTASUniversitarias | 12

Referencia recomendada http://people.ee.duke.edu/~dwyer/courses/ece52/Binary_to_BCD_Converter.pdf

Procedimiento 

Realice un diagrama de flujo que resuelva el problema



Codifique el diagrama en VHDL



Simule.



Programe el CPLD, empleando el SISTEMA DE DESARROLLO disponible enel laboratorio.



Compruebe el funcionamiento del circuito diseñado sobre el CPLD.



En la primera sesión se debe presentar el código completo y su simulación. Emplear el tiempo del laboratorio para trabajar en la programación del CPLD.



En la segunda sesión se debe presentar el circuito completo funcionando.

Informe Entregar informe durante la segunda sesión. Seguir las normas al final de este documento.

NOTASUniversitarias | 13

Laboratorio N5

Diseño de una ALU de 4 BITS en VHDL Tiempo Estimado: Una sesión

Objetivo 

Familiarizar al estudiante con el Diseño de Módulos Aritméticos en VHDL

Materiales proporcionados por el estudiante 

Protoboard



Resistencias, dipswitch, etc.



LEDs



Circuitos integrados necesarios.



Tarjeta diseñada en la primera guía.

Materiales proporcionados por la universidad 

PC con Quartus II



Sistema de desarrollocon CPLD

Problema Diseñar una ALU de 4 bits, que cumpla con los requerimientos de la tabla y tener en cuenta las siguientes consideraciones: 

Operandos de 4 bits.



Posibilidad de realizar hasta 14 operaciones distintas.



Entradas: 1. Dos operandos A[3:0] y B[3:0] un Carry de entrada Cin 2.

Cuatro líneas de selección de operación, S[3:0].

NOTASUniversitarias | 14



Salidas: 1. Resultado de la operación R[3:0] junto con una señal de acarreo, COUT, necesaria en algunas operaciones. 2. Salidas de la comparación entre A y B. (Mayor, Menor e Igual) 3. Salida del residuo de la división (M)

Se puede emplear cualquier arquitectura (no se permite esquemático). La librería aritmética solo se puede emplear para la multiplicación y la división.

S3

S2

S1

S0

F

FUNCION

0

0

0

0

A+B

SUMA

0

0

0

1

A-B

RESTA

0

0

1

0

A+1

INCREMENTO

0

0

1

1

A-1

DECREMENTO

0

1

0

0

AxB

MULTIPLICACION

0

1

0

1

A/B

DIVISION

0

1

1

0

comp

COMPARACION

0

1

1

1

-

NOP

1

0

0

0

A and B

AND

1

0

0

1

A Nand B

NAND

1

0

1

0

A or B

OR

1

0

1

1

A Nor B

NOR

1

1

0

0

A Xor B

EXOR

1

1

0

1

A Nexor B

NEXOR

1

1

1

0

Not A

NOT

1

1

1

1

Not B

NOT

1

0

0

0

-

NOP

Informe Entregar un informe completo con el diagrama de bloques del diseño, el código en VHDL comentado (con sus respectivas anotaciones), una simulación detallada del diseño en el que se observe el funcionamiento como ALU. No olvide revisar las normas para presentación de informes, que se encuentran al final de este documento.

NOTASUniversitarias | 15

Laboratorio N6

Aplicación de los circuitos secuenciales I detectores de secuencia alambrados y programados Tiempo Estimado: 2 Sesiones

Objetivo 

Familiarizar al estudiante con el diseño de circuitos secuénciales empleando lógica alambrada y programada.

Materiales proporcionados por el estudiante 

Fuente de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias, dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC con QUARTUS II



Sistema de desarrollo con CPLD

Problema: Diseñe un circuito secuencial con una entrada X y una salida Z, que permita detectar la secuencia asignada por la docente, y una vez detectada, ponga la salida Z en alto. En la detección de la secuencia se permite el solapamiento. El sistema debe tener un reset.

A. 110100110 B. 011011001 C. 101001001 D. 010111010 E. 010101101 F. 100111100

NOTASUniversitarias | 16

G. 110101110 H. 111010111 I. 000110100 J. 101010110

Sesión 1 1.Diseñar Mediante lógica combinacional y secuencial (empleando compuertas NAND) 2. Simular en Proteus. 3. Alambrar empleando los FlipFlops que considere adecuados según su diseño.Se debe tener en cuenta varias alternativas y justificar la mejor opción.

Sesión 2 A partir del detector de secuencia desarrollado en la sesión 1, realizar la maquina de estados equivalente a este detector en VHDL y realizar su programación en el CPLD.

Informe (se entrega durante la segunda sesión) 

Incluir el diseño completo del detector, las alternativas de solución y la justificación de la solución implementada.



Máquina de estados diseñada.



Simulación del diseño en Proteus.



Código (con los comentarios necesarios) enVHDL.



Presentar Simulación en VHDL con su análisis respectivo.



Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 17

Laboratorio N7

Aplicación de los circuitos secuenciales II Detección de una clave de 4 digitos Tiempo Estimado: 2 Sesiones

Objetivo 

Familiarizar al estudiante con el diseño de circuitos secuénciales empleando lógica Programada

Materiales proporcionados por el estudiante 

Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias, dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC y sistema de desarrollo de CPLDs.

Procedimiento Diseñe un circuito secuencial que detecte la clave asignada a cada grupo, por ejemplo 2149 (0010 0001 0100 1001), que se genera a partir de un teclado matricial; si la secuencia de estos dígitos es correcta, indicar mediante una señal (OK); esta señal solo se desactiva si hay un Reset asíncrono (oculto). Si la secuencia es incorrecta, se debe generar una señal de ERROR (bloqueo). Luego de dos intentos erróneos, el sistema debe inhabilitar el reconocimiento de más dígitos y solo puede habilitarse de nuevo mediante una señal de Reset (Asíncrono). Con el código no se requieren confirmaciones intermedias solo un ENTER al final (similar a la tecla anotación de los cajeros electrónicos).

NOTASUniversitarias | 18

A. 9322

B. 0549

C. 2716

D. 4587

E. 2367

F. 1234

G. 0908

H. 1134

El diseño se debe realizar, a partir de la descripción del circuito en VHDL, con su respectiva simulación e implementación en el CPLD del sistema de desarrollo. Restricciones: El circuito debe tener antirebote (Debounce)interno.

Sesión 1 Entregar el diseño completo del detector de teclado. (Se digita una tecla y se visualiza en un display el número seleccionado)

Sesión 2 Entregar el detector de clave completo funcionando en el CPLD.

NOTASUniversitarias | 19

Referencias Recomendadas: http://www.youtube.com/watch?v=fppz_-phxDQ http://galia.fc.uaslp.mx/~cantocar/microcontroladores/SLIDES_8051_PDF/20_MATRI.PDF

Informe (se entrega la segunda sesión) 

Incluir el diseño completo del detector, las alternativas de solución y la justificación de la solución implementada.



Maquina de estados diseñada.



Código (con los comentarios necesarios) enVHDL.



Presentar Simulación en VHDL con su análisis respectivo.



Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 20

Laboratorio N8

Aplicación de los circuitos secuenciales III Solución de problemas a partir de máquinas de estado Tiempo Estimado: 1 Semana

Objetivo 

Solucionar problemas de la vida real mediante la aplicación de maquinas de estado y VHDL.

Materiales proporcionados por el estudiante 

Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias, dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC y sistema de desarrollo de CPLDs.

Problema Diseñar un circuito secuencial síncrono que a partir de una señal de Reloj y en sincronismo con sus flancos de subida, genere otra señal periódica S cuyo periodo y ancho de banda deban ser seleccionables mediante dos líneas de control C1C0 de tal forma que:

NOTASUniversitarias | 21

Tomado de: Universidad de Valladolid. Departamento de Electricidad y Electrónica. Problemas de Electrónica Digital. Tema 4 – Flip-Flops. Circuitos Secuenciales Síncronos. Disponible en: http://emp.usb.ve/mrivas/problemario4.pdf

Procedimiento 1. Diseñar el circuito como Mealy, realizar el análisis completo de estados redundantes. diseñar el circuito completo empleando FF s (Analizar el circuito óptimo) (Sesión 1) 2. Diseñar el circuito empleando VHDL (Sesión 2)

Informe Recuerde seguir los pasos y las recomendaciones sugeridas al final de este texto.

NOTASUniversitarias | 22

Laboratorio N9

Aplicación de los circuitos secuenciales IV Solución de problemas a partir de máquinas de estado Tiempo Estimado: 2 Semanas (el laboratorio equivale a 2 notas)

Objetivo 

Solucionar problemas de la vida real mediante la aplicación de máquinas de estado y VHDL.

Materiales proporcionados por el estudiante 

Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias,dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC y sistema de desarrollo de CPLDs.

Problema Se requiere un controlador digital para dirigir el tráfico en una intersección entre dos calles: una principal con mucho tráfico y otra secundaria con poco.

NOTASUniversitarias | 23

CÓDIGO DE LOS SEMÁFOROS

Las reglas de funcionamiento serán las siguientes: 

El semáforo principal (SP) estará en verde al menos 20 segundos o hasta que haya algún vehículo en la calle secundaria (algún sensor Xs activo).



El semáforo secundario (SS) estará en verde al menos 20 segundos o hasta que se detecte algún vehículo en la calle principal (algún sensor Xp activo).



Habrá una situación intermedia de seguridad entre cada una de las anteriores donde el semáforo en cuestión estará en amarillo durante 5 segundos.

Diseñar el diagrama de estados correspondiente al circuito secuencial director del tráfico

NOTASUniversitarias | 24

Notas: Las señales de entrada al circuito secuencial Xp y Xs son de un bit, suponga que cada una es la suma de los dos sensores (Xp ò Xs) que se observan en el diagrama. La frecuencia del reloj ck2 no tiene importancia pero considere que es de 4 Hertz.

Procedimiento - Sesión 1 Entregar el diagrama de estados del sistema de control de semáforo diseñado y la simulación del contador de tiempos y del circuito en VHDL - Sesión 2 Entregar el sistema completo funcionando en su totalidad.

Informe Se entrega durante la segunda sesión Recuerde seguir los pasos y las recomendaciones sugeridas al final de este texto.

NOTASUniversitarias | 25

Laboratorio N10

Aplicación de los circuitos secuenciales V Control de un motor paso a paso empleando VHDL Tiempo Estimado: 2 Sesiones

Objetivo 

Aplicar los conceptos de la teoría de diseño secuencial en la solución de problemas.

Materiales proporcionados por el estudiante 

Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias, dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC y sistema de desarrollo de CPLDs.

Problema: -

Realizar el control de un motor paso a paso empleando un CPLD, en el que se puedan controlar el sentido del giro y el ángulo de rotación (90, 180, 270 ó 360 grados).

-

El grupo que realice una aplicación adicional para este motor, tendrá una nota adicional en el laboratorio.

Tarea previa: Caracterice completamente un motor paso a paso unipolar (5 o 6 líneas de salida), determinando las secuencias para mover a la derecha o a la izquierda y cuantos grados se mueve con cada paso, para garantizar los pasos necesarios para dar un cuarto de vuelta, media vuelta, o la vuelta completa.

NOTASUniversitarias | 26

Referencias sugeridas http://www.docentes.unal.edu.co/hfvelascop/docs/CLASES/DIGITALES2/LABORATORIO/Motor %20Paso%20a%20Paso.pdf

Recomendaciones -

Un motor de paso con 5 cables es casi seguro de 4 fases y unipolar.

-

Un motor de paso con 6 cables también puede ser de 4 fases y unipolar,con 2 cables comunes para alimentación, pueden ser del mismo color.

-

Un motor de pasos con solo 4 cables es comúnmente bipolar.

-

Recuerde que para mover el motor, no es suficiente con la corriente que sale del CPLD, por esto es necesario emplear drivers a la salida, para proteger la tarjeta.

Informe Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 27

Laboratorio N11

Diseño de un contador up/down programable con entrada por teclado matricial Tiempo Estimado: 1 Sesión Objetivo 

Aplicar los conceptos de la teoría de diseño secuencial en la solución de problemas que involucran contadores.

Materiales proporcionados por el estudiante 

Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias,dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC y sistema de desarrollo de CPLDs.

Problema Diseñar un sistema secuencial que permita digitar a partir de un teclado matricial el módulo de conteo (3 dígitos) y visualizar este dato en 3 displays 7 segmentos. Una vez programado el módulo de conteo, el sistema debe esperar la orden de conteo UP/DOWN y el START, momento en el cual debe comenzar la cuenta. Adicionalmente, se debe generar una alarma cada vez que se termina el conteo (borrow y carry). Debe además contar con un RESET manual.

Informe Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 28

Laboratorio N12

Diseño de una calculadora básica con codificación de las entradas por teclado matricial Tiempo Estimado: 1 Sesión

Objetivo 

Aplicar los conceptos de la teoría de diseño secuencial en la solución de problemas.

Materiales proporcionados por el estudiante 

Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias, dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC y sistema de desarrollo de CPLDs.

Problema Diseñar un sistema secuencial que permita la detección a partir de un teclado MATRICIAL de 2 números BCD (3 dígitos BCD), y realizar la operación aritmética que se indique a través del mismo teclado. En el paso inicial, se ingresa el primer operando (desde el teclado), se almacena en una variable

y se visualiza en un display y mediante una línea se muestra que el numero fue

efectivamente almacenado. En el segundo paso se ingresa el segundo operando, se almacena en una variable y se visualiza en el display y mediante una línea se muestra que el numero fue efectivamente almacenado.

NOTASUniversitarias | 29

Finalmente se indica la operación deseada así: si se oprime la tecla “*”, se realizará la suma aritmética entre estos dos operandos; si se oprime la tecla “#”, se realizará la resta entre estos dos números. Para cualquier caso, la respuesta siempre se visualizará en displays.

Realizar el diseño a partir de la detección de teclado desarrollada en el laboratorio anterior.

Informe Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 30

Laboratorio N13

Diseño de un publik Tiempo Estimado: 2Sesiones

Objetivos 

Familiarizar al estudiante con la solución de problemas a partir de las técnicas de diseño adquiridas durante las asignaturas del área



Realizar una aplicación de registros de corrimiento.

Materiales proporcionados por el estudiante 

Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias, dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC y sistema de desarrollo de CPLDs.

Problema Diseñar un circuito digital que permita visualizar en una matriz de leds (8x8 o 5x8) un mensaje (ELECTRONICA) empleando CPLDs o los módulos combinacionales, sencuenciales y Memorias que sean necesarios(EN NINGUN CASO CON PIC O MICROCONTROLADOR)

El aviso debe desplegarse de derecha a izquierda a través de la matriz (En este caso la nota será sobre 5). Si se emplean 4 matrices de leds pero el aviso es fijo, la nota será sobre 4.

NOTASUniversitarias | 31

Con desplazamiento

Sin desplazamiento

El laboratorio puede diseñarse sobre el CPLD o con componentes digitales.

NOTA: Es aconsejable realizar inicialmente una simulación sobre Proteus para caracterizar la matriz y probar el circuito general.

Sesión 1: Caracterizar la matriz y realizar un diagrama de bloques del diseñoy simulación Sesión 2: Prueba del diseñoy entrega del circuito publik funcionando.

Informe Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 32

Laboratorio N14

Aplicación de contadores y registros Diseño de un frecuencímetro autorango Tiempo Estimado: 3 Sesiones

Objetivo 

Aplicar la teoría de los contadores y registros en la solución de un problema.

Materiales 

Protoboard



Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Resistencias, dipswitch, etc.



LEDs y Displays



Compuertas lógicas



CPLD

 Problema Diseñe un circuito que permita a partir de la señal de un generador de funciones calcular el valor de su frecuencia.

Características del diseño: 

Autorango ( 3 escalas: Hz, KHz y MHz), con indicador de la escala en la que se encuentra: o

Rango de Hz (desde 1Hz hasta 999Hz)

o

Rango de KHz abarca desde 1.00 KHz hasta 999KHz.

o

Rango de MHz está comprendido entre 1.00 MHz y 10.0MHz.



Módulos MSI secuenciales o PLDs



Visualización del dato en displays, con indicador de la escala.



Reset general del circuito.

NOTASUniversitarias | 33

Procedimiento - Sesión 1 Entrega del diseño planteado y su correspondiente simulación. - Sesión 2 Puesta a punto del circuito. - Sesión 3 Entrega del circuito funcionando en su totalidad.

Informe Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 34

Laboratorio N15

Diseño de un procesador simple empleando microinstrucciones Tiempo Estimado: 2 Semanas

Objetivo 

Diseñar la unidad de control a partir de microinstrucciones para una arquitectura predeterminada.

Materiales proporcionados por el estudiante 

Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Protoboard, Resistencias, dipswitch, etc.



Tarjeta construída por elestudiante.

Materiales proporcionados por la universidad 

PC y sistema de desarrollo de CPLDs.

Problema 

Implementar la arquitectura

NOTASUniversitarias | 35



Diseñar la unidad controladora para la función asignada



Implementar la unidad controladora en VHDL



Programación en CPLD con la unidad de control

Asignación de problemas

GRUPO

Ecuación 2*A-B -5*B+2*A 7*A-8*B 6*A+3*B -5*A+B -2*A-5*B 3*A-2*B

Informe Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 36

Laboratorio N16

Diseño de una memoria FIFO Tiempo Estimado: 1Sesión

Objetivo 

Aplicar la teoría de registros y contadores en el diseño de una memoria FIFO



Realizar diseño jerárquico.

Materiales 

Protoboard



Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Resistencias, dipswitch, etc.



LEDs y Displays



Compuertas lógicas



CPLD

Problema Obtener una memoria FIFO de 8 posiciones con palabras de 4 bits, y líneas de entrada R/W(leer o escribir en memoria), Reset (Borra la memoria), enable (habilita la función de la memoria), Vacio (permite saber si la memoria tiene datos almacenados), llena(Todos las posiciones de memoria están ocupadas) Bibliografía Recomendada Larrea Torres y Otros. Ejercicios prácticos con Lógica programable. Universidad Politécnica de Valencia.2012

Procedimiento 

Consultar la teoría respecto a las memorias FIFO.



Realizar el diseño de la memoria, teniendo en cuenta las condiciones del problema planteado y basándose en contadores y registros, empleando diseño jerárquico.

NOTASUniversitarias | 37



Puesta a punto y Simulación.

Informe Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 38

Laboratorio N17

Diseño de un filtro digital Tiempo Estimado: 3 Sesiones

Objetivo 

Aplicar la teoría de los contadores y registros en el diseño de un filtro digital.

Materiales 

Protoboard



Fuente de poder de 5 VDC (Cada grupo debe traer su fuente)



Resistencias, dipswitch, etc.



LEDs y Displays



Compuertas lógicas



CPLD

Problema Teniendo en cuenta que los filtros digitales pueden realizarse empleando los elementos correspondientes a las operacionesde multiplicación, adición y almacenaje de datosen el FPGA o CPLD, utilizando alguna de las estructuras para la realización de sistemas LTI.Diseñar un filtro FIR pasabajosde orden 5 (a cada grupo se le asignará una frecuencia de corte diferente). Emplear conversores ADC y DAC para poder probar el filtro.

NOTASUniversitarias | 39

Referencias sugeridas

-

http://es.scribd.com/doc/2154176/U-de-G-Curso-de-VHDL

-

http://cseweb.ucsd.edu/~kastner/papers/iccd06-fir_add_shift.pdf

-

http://www.google.com.co/url?sa=t&rct=j&q=&esrc=s&source=web&cd=2&ved=0CFEQF jAB&url=http%3A%2F%2Fwww.utn.edu.ar%2Fdownload.aspx%3FidFile%3D4655&ei= WVn_T-CCNorM9QSC2KDYBA&usg=AFQjCNFt2bxsSMiYRI13CopgM2rStYzBOA

Procedimiento - Sesión 1 Entrega del diseño planteado y su correspondiente simulación. - Sesión 2 Puesta a punto del circuito. - Sesión 3 Entrega del circuito funcionando en su totalidad.

Informe Recordar las normas para presentación de informes incluidas al final de este documento.

NOTASUniversitarias | 40

Formato de presentación de informe de laboratorio ELECTRONICA DIGITAL II

Encabezado: Número del laboratorio, título del laboratorio Fecha de entrega

1. Objetivos 2. Materiales empleados 3. Alternativas de solución 4. Justificación de la solución escogida y circuito final implementado (o algoritmo diseñado con las correspondientes anotaciones y explicacion) El algoritmo debe contener en el encabezado el nombre de los integrantes del grupo, el número y nombre de la práctica. 5. solución a las preguntas (si las hay) 6. Simulaciones y análisis de las simulaciones 7. Conclusiones (conclusiones personales acerca de la experiencia al haber realizado su laboratorio) 8. Bibliografia

NOTAS. 

Recuerde que la presentación del informe se hace en el momento de entregar el circuito en funcionamiento.



El circuito debe estar completamente alambrado al llegar a la sesión de laboratorio y solo se emplea este tiempo para realizar cambios de último momento.

Recomendaciones generales sobre el informe escrito: 

Redactar un informe, donde se incluyan todos los Ítems de la tarea previa, incluyendo los archivos en copia digital e impresa.



Incluir en el informe: planteamiento del problema, posibles soluciones.

NOTASUniversitarias | 41



Justificar la solución escogida, procedimiento de diseño y diagramas esquemáticos, de bloque o de flujo según se requiera en cada diseño.



Anexar todas las gráficas de simulación requeridas analizadas; los gráficos, para que adquieran sentido, deben tener sus comentarios personales referentes a aquello que puede observarse en este.



Incluir sus conclusiones acerca de la práctica.



Se debe entregar impreso y con un CD con las simulaciones.

NOTA IMPORTANTE: Los circuitos deben estar completamente alambrados para la hora del laboratorio; la presentación del cableado debe estar organizada. El no cumplimiento de alguna de estas normas tendrá un descuento de 0,5 en la nota final de la práctica.

NOTASUniversitarias | 42