Deber Segundo Parcial Digitales II

ESCUELA SUPERIOR POLITECNICA DEL LITORAL FACULTAD DE INGENIERIA EN ELECTRICIDAD Y COMPUTACIÓN SISTEMAS DIGITALES II DEB

Views 78 Downloads 0 File size 349KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

ESCUELA SUPERIOR POLITECNICA DEL LITORAL FACULTAD DE INGENIERIA EN ELECTRICIDAD Y COMPUTACIÓN

SISTEMAS DIGITALES II DEBER PARA SEGUNDA EVALUACIÓN I TÉRMINO 2013-2014 PROBLEMA # 1

Diseñar un Sistema Digital que funciona como un circuito Contador de Segundos Up/Down Controlado. El circuito debe tener la entrada Start, la entrada de cuatro bits Número de Segundos, la entrada Up/Down, la entrada Hold, la entrada Go, la entrada Clk1seg, la salida Segundos Up/Down representada por dos display de 7 segmentos y la salida Fin. Inicialmente se debe colocar el número de pulsos deseado en la entrada Número de Segundos (de 1 a 15 en binario), colocar la entrada Up/Down en posición deseada (0→Up, 1→Down) y presionar la botonera de la entrada Start. Si la entrada Up/Down esta en posición de Up (Up/Down = 0) el circuito cuenta la cantidad de segundos desde cero hasta que el numero de segundos contados sea igual al numero de segundos colocados en la entrada Número de Segundos. Entonces el circuito genera la salida Fin, verifique si la entrada Start ha sido desactivada y regresa al estado inicial. El conteo ascendente de los segundos debe ser mostrado en dos display Segundos Up/Down. Si durante el conteo se activa la entrada Hold, el circuito se detiene hasta que se activa la entrada Go. Entonces el conteo continúa hasta que el número de segundos contados sea igual al número de segundos colocados en la entrada Número de Segundos. Si el usuario selecciono la posición Down de la entrada Up/Down (Up/Down = 1), entonces el circuito comienza el conteo descendente a partir del valor colocado en la entrada Número de Segundos mostrando el conteo en el display Segundos Up/Down. Cuando el contador llegue hasta cero, se genera la salida Fin, verifique si la entrada Start ha sido desactivada y circuito regresa al estado inicial. Si durante el conteo descendente se activa la entrada Hold, el circuito se detiene hasta que se activa la entrada Go.

Numero de Segundos 4 Start Up/Down Hold Go Clk1seg

Contador de Segundos Controlado

Fin

8

8

Segundos Up/Down Clock

Resetn

Presentar: 1. Partición Funcional del Sistema Digital. 2. Diagrama ASM del circuito Controlador del Sistema Digital, indicando claramente todas las salidas que deben ser generadas. Deber # 2 de Sistemas Digitales II

Página 1 de 16

PROBLEMA # 2

Diseñe un pequeño Sistema Digital que convierta un número decimal de dos dígitos en su equivalente en código GRAY. Inicialmente se debe recibir la señal Inicio. Luego en un teclado decimal se debe presionar y soltar la tecla de las decenas y luego la tecla de las unidades del número que se desea convertir. Para convertir el número al código GRAY se debe realizar el procedimiento siguiente: • Se debe explorar el número binario original dígito por dígito desde la posición más significativa (izquierda) hasta encontrar el primer “1”. Cuando esto ocurre, en la respuesta G en la misma posición se debe escribir un “1”. Si los números que siguen en el dato original son “0” en G se debe seguir escribiendo “1” en las respectivas posiciones. • Esto se repite hasta que en el dato original aparezca un nuevo “1”, en este caso en G se debe escribir un “0”. Luego de esto, si en el dato original aparece un “0”, se debe seguir escribiendo “0” en G. Esto debe repetirse hasta que en el dato original aparezca un “1” en cuyo caso se escribe un “1” en G y se repite el paso anterior. • El procedimiento termina cuando se han revisado todos los dígitos del número original. • Antes de encontrar el primer “1” del dato original, en la respuesta G se deben escribir “0”. Cuando se ha terminado de convertir el dato, se debe generar la salida Fin que debe permanecer activada mientras Inicio siga siendo verdadero.

Presentar: 1. Partición Funcional del Sistema Digital. 2. Diagrama ASM del circuito Controlador del Sistema Digital, indicando claramente todas las salidas que deben ser generadas.

Deber # 2 de Sistemas Digitales II

Página 2 de 16

PROBLEMA # 3

Dada la siguiente descripción en VHDL del funcionamiento de un Sistema Digital: Presentar: 1. Partición Funcional del Sistema Digital. 2. Diagrama ASM del circuito Controlador del Sistema Digital, indicando claramente todas las salidas que deben ser generadas. 3. Diagramas de Tiempo del circuito Controlador asumiendo las condiciones de entrada dadas. Indique claramente los nombres y la duración de cada estado (y).

Deber # 2 de Sistemas Digitales II

Página 3 de 16

Deber # 2 de Sistemas Digitales II

Página 4 de 16

Deber # 2 de Sistemas Digitales II

Página 5 de 16

PROBLEMA # 4

Dada la siguiente descripción en VHDL del funcionamiento de un Sistema Digital: Presentar: 1. Partición Funcional del Sistema Digital. 2. Diagrama ASM del circuito Controlador del Sistema Digital, indicando claramente todas las salidas que deben ser generadas. 3. Diagramas de Tiempo del circuito Controlador asumiendo las condiciones de entrada dadas. Indique claramente los nombres y la duración de cada estado (y).

Deber # 2 de Sistemas Digitales II

Página 6 de 16

Deber # 2 de Sistemas Digitales II

Página 7 de 16

PROBLEMA # 5

Diseñe un pequeño Sistema Digital, que permita detectar si un número es Divisible para nueve. Inicialmente el sistema debe recibir la señal Start, luego de lo cual queda esperando el número. Ahora el usuario debe ingresar por medio de un teclado decimal, un número de tres dígitos, empezando por centenas, luego decenas y finalmente unidades. El sistema debe sumar las tres cifras del número. Si el resultado es mayor que 9, debe nuevamente sumar sus cifras hasta obtener un numero de un solo digito. Si este es el numero 9, la cantidad original si es divisible para nueve. Ejemplo : Si el número es 117, al sumar las cifras se tiene 1+1+7=9 y por lo tanto el número original si es divisible para nueve Ahora se debe activar la salida Done y si el número fue divisible para nueve, también se debe activar la salida Divi9. Ambas salidas deben permanecer activadas mientras la señal Start continue siendo verdadera, luego de lo cual el sistema regresa al estado inicial en espera de un nuevo número.

Presente: 1. Diagrama ASM del circuito Controlador debidamente documentada. ( indicar todos las entradas y salidas) (10/20) 2. Partición Funcional (10/20)

Deber # 2 de Sistemas Digitales II

Página 8 de 16

PROBLEMA # 6

Diseñe un pequeño Sistema Digital que sirva como marcador electrónico para un GAME de un juego de Tenis. Para que el marcador comience a trabajar se debe activar la entrada Inicio. Luego de esto, en dos displays de siete segmentos para cada jugador (cuatro displays en total), se debe mostrar el marcador del GAME. El sistema queda esperando a que se active una de las señales JugadorA o JugadorB que representa que el respectivo jugador ha ganado un boleo y se le debe asignar puntaje. Estas señales están sincronizadas con Clock de tal manera que duran exactamente un período de reloj. El marcador de cada jugador debe obedecer las reglas para el Tenis que son: Punto Primero Segundo Tercero

Marcador 15 30 40

Si uno de los dos jugadores tiene 40 en el marcador y hace otro punto gana el GAME, siempre y cuando la diferencia haya sido por lo menos de dos anotaciones (es decir 40-0, 40-15 o 40-30 y luego hace otro punto).

Si los dos jugadores tienen 40 en el marcador Se enciende la salida Deuce y se juegan puntos adicionales para determinar el ganador hasta que haya diferencia de dos puntos. Para este caso se debe primero encender una de las dos salidas VentajaA o VentajaB y luego dar a uno de ellos como ganador. En los displays se debe seguir mostrando 40-40. Ejemplo: Si el marcador es 40-40 se enciende la salida Deuce. Si luego anota el jugador A, se debe encender la salida VentajaA. Si luego anota B, se debe encender nuevamente Deuce. Si vuelve a anotar B se enciende ahora VentajaB y si anota nuevamente B se lo da por ganador

Si un jugador gana, se debe encender una de las salidas GanoA o GanoB respectivamente indicando al ganador. Todo esto mientras Inicio sigue activado. Si se desactiva se debe volver al estado inicial a espera de otro juego.

Presente: 1. Diagrama ASM del circuito Controlador debidamente documentada. ( indicar todos las entradas y salidas) (10/20) 2. Partición Funcional (10/20)

Deber # 2 de Sistemas Digitales II

Página 9 de 16

PROBLEMA # 7

Para el siguiente Sistema Digital, se muestran la Partición Funcional y el Diagrama ASM del circuito Controlador.

Presente: 1. Descripción del Sistema en un solo programa en VHDL usando las declaraciones process – case para describir las Transiciones de Estados y las Salidas del Controlador, y la architecture mixta para la Partición Funcional. Asuma que dispone de archivos .vhd en la misma carpeta de Trabajo para Registro_sost y Conv_bin_2bcd que forman parte del Sistema Digital. El circuito Controlador, Muxs, Sumadores, Comparadores y las puertas lógicas deben ser descritos dentro de architecture. Así mismo suponga que el orden de las entradas en la declaración port de los sub-circuitos es similar (de izquierda a derecha y de arriba abajo) al del Diagrama Esquemático presentado. 2. Grafique los Diagramas de Tiempo del circuito Controlador asumiendo las condiciones de entrada dadas. Indique claramente los intervalos de tiempo que corresponden a cada estado (y).

Deber # 2 de Sistemas Digitales II

Página 10 de 16

Resetn T1 EnA, EnB

0

Inicio T2 Sel

1

1

JugA

EnA

0 0

JugB 1 EnB T3

T5 Deuce

1

Emp 0 0

Cuar T4 Sel

JugA 1

0 1

JugA

0 0

JugA 1

1

JugA

1

0 1

B40 T9 GanoB

1

1

JugB

0

1

JugB

JugB T7 VentajaB

A40

0

EnB

T6 VentajaA

0 0 EnA

0

1

JugB

0

1 T8 GanoA

1

Inicio 0

Inicio

1

0

Deber # 2 de Sistemas Digitales II

Página 11 de 16

PROBLEMA # 8

Para el siguiente Sistema Digital, se muestran la Partición Funcional y el Diagrama ASM del circuito Controlador.

Presente: 1. Descripción del Sistema en un solo programa en VHDL usando las declaraciones process – case para describir las Transiciones de Estados y las Salidas del Controlador, y la descripción mixta para la Partición Funcional. Asuma que dispone de archivos .vhd en la misma carpeta de Trabajo para Conv_dec_bcd, Registro_sost, Conv_2bcd_bin, Contador_down y Contador_up que forman parte del Sistema Digital. El Controlador, Muxs, Comparadores y las puertas lógicas deben ser descritos dentro de architecture. Así mismo suponga que el orden de las entradas en la declaración port de los subcircuitos es similar (de izquierda a derecha y de arriba abajo) al del Diagrama Esquemático presentado. 2. Grafique los Diagramas de Tiempo del circuito Controlador asumiendo las condiciones de entrada dadas. Indique claramente los nombres de los estados (y) que corresponden a cada periodo de reloj.

Deber # 2 de Sistemas Digitales II

Página 12 de 16

Resetn S1 Sel1,Sel2 En1, En2 0

Start S2 1 EnCu, LdCu

0

Tcl S3

0

1

1

Tcl S4

0 1

0 0

Enter

Tcl En1, En2

S6 Sel

S9 Err

0

Enter S10 EnCd, LdCd

0

1

Nf

S8

0

Stop

1 S5 EnCd, LdCd Sm

Cd0

EnCd,EnCu 0

S7 Fin 0

Nf 1

Deber # 2 de Sistemas Digitales II

0

Stop

1

Página 13 de 16

Deber # 2 de Sistemas Digitales II

Página 14 de 16

PROBLEMA # 9

Diseñar en modo Fundamental una MSA (Maquina Secuencial Asincrónica) que funciona de la siguiente manera. La MSA tiene dos entradas A y B y una salida Out. Inicialmente las entradas A y B y la salida Out son igual 0. Cuando una de las entradas (A o B) se hace igual 1, la salida Out también se hace igual a 1. Si, mientras una de las entradas se mantiene igual a 1, la otra se hace igual a 1 también, la salida Out se mantiene igual a 1. Si, luego, una de las entradas se hace igual a 0, la salida Out se hace igual a 0 también y se mantiene igual a 0 aun cuando esta entrada se hace igual a 1 de nuevo, hasta que MSA regresa al estado inicial. A MSA

Out

B

Presente: 1. Diagrama de Estados Primitivo (Formato: A B / Out). Mapa de Estados Primitivo. Tabla de Implicantes. 2. Diagrama de Estados Reducido. Mapa de asignación de Código de Estados. 3. Mapa de Excitación. Mapas para las salidas Y1 y Y0 y para la salida Out. 4. Diagrama de tiempo para la salida Out asumiendo valores de las entradas A y B dados. Indica claramente los periodos de tiempo correspondiente a cada estado de su Diagrama de Estados Reducido. 5. Indique si su circuito corre riesgo de tener los Hazard Estáticos o no. Como se puede evitar.

A

B

Out

Deber # 2 de Sistemas Digitales II

Página 15 de 16

PROBLEMA # 10

Diseñar en modo Fundamental una MSA (Maquina Secuencial Asincrónica) que tiene dos entradas X1 y X2 y una salida Out. Inicialmente X1 = X2 = 0 y Out = 0. Cuando las entradas cambien X1 X2  00 – 01 – 11 la salida Out se hace igual a 1. La salida Out se mantiene igual a 1 hasta que las entradas detectan la secuencia X1 X2  11 – 10 – 00. Entonces la MSA regresa al estado inicial. X1 MSA

Out

X2

Presentar: 1. Diagrama de Estados Primitivo (Formato: X1 X2 / Out). Mapa de Estados Primitivo.Tabla de Implicantes. 2. Diagrama de Estados Reducida. Mapa de asignación de Código de Estados. 3. Mapa de Excitación. Mapas para las salidas Y1 y Y0 y para la salida Out. 4. Diagrama de tiempo para la salida Out asumiendo valores de las entradas X1 y X2 dados. Indica claramente los periodos de tiempo correspondiente a cada estado de su Diagrama de Estados Reducido. 5. Indica si su circuito corre riesgo de tener los Hazard Estáticos o no. ¿Como se puede evitar?

X1

X2

Out

Deber # 2 de Sistemas Digitales II

Página 16 de 16