Circuitos Digitales

I. Base teórica 1. Decodificadores Tienen como función detectar la presencia de una determinada combinación de bits e

Views 218 Downloads 4 File size 1MB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

I.

Base teórica 1.

Decodificadores

Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador posee N líneas de entrada para gestionar N bits y en una de las 2N líneas de salida indica la presencia de una o mas combinaciones de n bits.

FIGURA 1

Para cualquier código dado en las entradas solo se activa una de las N posibles salidas

2. Decodificadores binarios básicos . Cuando se quiere determinar cuándo por ejemplo aparece 1001 en las entradas de un circuito digital. Todas las entradas de la puerta AND están a nivel ALTO ya que dicha puerta produce una salida a nivel ALTO

FIGURA 2 3.

Decodificador BCD/decimal

Convierte cada código BCD en uno de los diez posibles dígitos decimales. El método de implementación es el mismo que para un decodificador 4 a 16,pero con la diferencia de que las salidas son solo 10. Obtendremos salidas activas a nivel ALTO y BAJO implementando las funciones con puertas AND y NAND respectivamente.

FIGURA 3

4. Codificadores Los codificadores nos permiten “compactar” la información, generando un código de salida a partir de la información de entrada. Y como siempre, lo mejor es verlo con un ejemplo. Imaginemos que estamos diseñando un circuito digital que se encuentra en el interior de una cadena de música. Este circuito controlará la cadena, haciendo que funcione correctamente. Una de las cosas que hará este circuito de control será activar la radio, el CD, la cinta o el Disco según el botón que haya pulsado el usuario. Imaginemos que tenemos 4 botones en la cadena, de manera que cuando no están pulsados, generan un ’0’ y cuando se pulsan un ’1’ (Botones digitales). Los podríamos conectar directamente a nuestro circuito de control la cadena de música, como se muestra en la figura

5. Multiplexores Un multiplexor es un circuito digital que selecciona una de entre varias entradas de datos y lleva su valor lógico a la única salida Z del circuito. La selección de los datos se realiza mediante una o varias entradas de control Si. La codificación binaria resultante de las entradas S indica el índice de la entrada I que pasa a la salida. Existiendo una entrada de habilitación (entable), la cual pone en funcionamiento el circuito, y trabajo en bajo activo

6. Desmultiplex Una de las aplicaciones más características de los decodificadores es su transformación en los circuitos digitales denominados demultiplexores. Un decodificador se convierte en un demultiplexor añadiéndole una señal más a su circuitería interna. • El demultiplexor es un circuito destinado a transmitir una señal binaria a una determinada línea, elegida mediante un seleccionador, de entre las diversas líneas existentes, es decir, es un circuito que tiene una entrada de datos E y n entradas de selección Y. El circuito puede tener hasta m salidas donde m es menor o igual a 2 N

7. Comparadores n circuito digital comparador realiza la comparación de dos palabras A y B de N bits tomadas como un número entero sin signo e indica si son iguales o si una es mayor que otra en tres salidas A = B, A > B y A < B. Solo una de estas salidas estará a 1 y las demás estarán a 0 dependiendo de los valores de las entradas.

II.

PROCEDIMIENTO

1.

Circuito 1

Circuito 1 A 0000

0001

0010

0100

1000

B 0000 0001 0010 0100 1000 0000 0001 0011 0110 1001 0000 0001 0010 0100 1000 0000 0001 0010 0100 1000 0000 0001 0010 0100 1000 1001

SALIDA 010 100 100 100 100 001 010 100 001 100 001 100 010 001 001 001 100 100 010 001 001 100 100 100 010 100

TABLA DE VERDAD EXPERIMENTAL CIRCUITO 1.

2. Circuito 2

Circuito 2

ANODO COMUN 0000

0100

0001

0101

0010

0110

CATODO COMUN 0011

0111

0000

0100

Resultados del circuito 2

3. Circuito 3

0001

0101

0010

0110

0011

0111

Circuito 3

1 H X X X X X X X X L

2 H X X X X X X X L H

3 H X X X X X X L H H

4 H X X X X X L H H H

IMPUTS 5 6 H H X X X X X X X L L H H H H H H H H H

7 H X X L H H H H H H

8 H X L H H H H H H H

9 H L H H H H H H H H

D H H H H H H H H H H

TABLA DE VERDAD EXPERIMENTAL CIRCUITO 3.

4. Circuito 4

C L L L L L L L L L L

OTUPS B L L L L L L L L L L

A H H H H H H H H H H

CIRCUITO 4

5. Circuito 5

CIRCUITO 5

G 1 0

S X X=0 0

A X X=0 0

0

0

1

B X=0 X=1 X=0 X=1 X=0

Y 0 1 0 1 0

0

1

0

1

X=1 0

X=0 X=1 X=0 X=1

1 0 0 0 1

1

TABLA DE VERDAD EXPERIMENTAL CIRCUITO 5.

6. Circuito 6

CIRCUITO 6

G1 1 0 0 0 0 0 0 0 0

G2 X=0 X=1 0 1 0 1 0 1 0 1

A X=0 X=1 0 0 0 0 1 1 1 1

B X=0 X=1 0 0 1 1 0 0 1 1

Y4 1 1 1 1 1 1 1 1 1 1

Y3 1 1 1 1 1 1 1 1 1 1

TABLA DE VERDAD EXPERIMENTAL CIRCUITO 6.

7. Circuito 7

Y2 1 1 1 1 1 1 1 1 1 1

Y1 0 1 1 1 1 1 1 1 1 1

Circuito 7

C 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1

A 0 1 0 1 0 1 0 1

Z 1 0 0 0 0 1 1 0

TABLA DE VERDAD EXPERIMENTAL CIRCUITO 7.

CUESTIONARIO FINAL 1. Diseñe un circuito para construir un decodificador (con compuertas lógicas) que viene de otro circuito (circuito de control – un micro controlador), para un semáforo. El semáforo puede estar verde, amarillo, rojo o averiado. En el caso de estar averiado, se activará una luz interna “azul”, para que el técnico sepa que lo tiene que reparar. A cada una de estas luces les vamos a asociar un número. Así el rojo será el 0, el amarillo el 1, el verde el 2 y el azul (averiado) el 3, véase la siguiente figura.

2. ¿Por qué es necesario la conexión de las resistencias de 330Ohm entre las entradas del display y las salidas del decodificador 7447. Las resistencias son por seguridad con los circuitos integrados y con los diodos LED, así la corriente no ocasionara un corto en ningún componente y además los dispositivos se mantendrán intactos de explosiones.

3. Diseñar un demultiplexor de 1 a 4 líneas con 2 líneas de selección, con puertas AND e inversores Este circuito integrado contiene dos demultiplexores 1:4, que también pueden funcionar como decodificadores 2 a 4. La relación de pines de este integrado es la siguiente: A y B: entradas de selección comunes a los dos demultiplexores activas a nivel alto (5V). 1G y 2G: entradas de inhibición o STROBE de los demultiplexores 1 y 2 respectivamente, activas a nivel bajo (0V). 1C y 2C: entradas del dato de los demultiplexores 1 y 2 respectivamente. 1C es activa a nivel alto (5V) y 2C es activa a nivel bajo (0V). 1Y0, 1Y1, 1Y2, 1Y3: salidas del demultiplexor 1 activas a nivel bajo (0V). 2Y0, 2Y1, 2Y2, 2Y3: salidas del demultiplexor 2 activas a nivel bajo (5V). Con esta lógica en los pines, el dato 1C está invertido en las salidas 1Y0, 1Y1, 1Y2, 1Y3, mientras que el dato 2C no lo está en 2Y0, 2Y1, 2Y2, 2Y3. La tabla de verdad y el montaje del demultiplexor 1 es la siguiente:

4. Describir como el decodificador de 4 a 16 74154 puede utilizarse como demultiplexor.

Un decodificador se convierte en un demultiplexor añadiéndole una señal más a su circuitería interna. Si se aplica esta señal, la salida será el complemento de dicha señal, ya que la salida es 0 si todas las entradas son 1, y aparecerá únicamente en la línea seleccionada. Se puede aplicar a un demultiplexor una señal de habilitación o "enable", conectándose en cascada el decodificador con el circuito compuesto de una puerta AND y dos puertas NOT cuyas entradas son la señal de habilitación y el dato que queremos transmitir.

5. SIMULACIONES  Circuito de la pregunta 1 del cuestionario



III.

demultiplexor de 1 a 4 líneas con 2 líneas de selección, con puertas AND e inversores

OBSERVACIONES Y RECOMENDACIONES

 Se presentó dificultad en el armado del circuito ya que el gran número de cables y de compuertas que se usaron en los diversos circuitos muchas veces requieren de dos o más Protoboard, para tener comodidad y orden en el proceso de armado del circuito. Para lo cual se recomienda primero simular los circuitos, cada línea de entrada y de salida de un mismo color, así en caso de cometer error se pueda detectar fácilmente  La mayoría de los integrados presentes en el circuito solo tienen tres compuertas, ya sea de tres o de dos entradas. Para lo cual se recomienda

construir compuertas de tres entradas con compuertas de dos entradas es decir podemos lograr una compuerta NAND de tres entradas (74LS10N) uniendo dos compuertas AND de dos entradas y negando el resultado con una compuerta NOT (7404N)  Antes de proceder con el armado de los circuitos se debe verificar el correcto funcionamiento de todos los componentes que debemos usar, Para ello se recomiendo hacer la prueba de continuidad en los cables, LED, DIPSwitch Y tener los valores correctos de resistencia

IV.

CONCLUSIONES Emita al menos tres conclusiones en torno al trabajo realizado:  Los decodificadores son circuitos combinacionales basados en puertas lógicas que trasforman un código de tipo binario en código decimal.  También hay varios tipos de codificadores, los codificadores sin prioridad y los codificadores con prioridad; donde el primero son aquellos que cuando se les aplican dos o más señales de entrada presentan una salida que no corresponde a la codificación de una señal de entrada, y en el segundo son aquellos en los que las salidas representan el código binario correspondiente a la entrada activa que tenga mayor valor decimal.  Los codificadores son circuitos hechos para pasar información de un sistema a otro con clave diferente.  Como trabaja un circuito multiplexor y como un circuito demultiplexor. Indique cuando emplear cada uno de ellos. El multiplexor es un circuito combinacional con varias entradas y una salida de datos La entrada seleccionada viene determinada por la combinación de ceros (0) y unos (1) lógicos en las entradas de control. La cantidad que necesitaremos será igual a la potencia de 2 que resulte de analizar el número de entradas.

El demultiplexor es un circuito destinado a transmitir una señal binaria a una determinada línea, elegida mediante un seleccionador, de entre las diversas líneas existentes. Funciona inversamente que el multiplexor, una entrada y varias salidas digitales con ceros (0) y unos (1)

V.

BIBLIOGRAFÍA  http://electronica.ugr.es/~amroldan/asignaturas/curso0405/ftc/pdf/trab_familia_cmos.pdf  www.geocities.com/jose_luis_cardenas  RODRÍGUEZ, Luis (1999). Electrónica digital Moderna. Compañía Editorial Tecnológica CEKIT.  TOCCI, Ronald. (1995). Sistemas digitales principios y aplicaciones. México: Prentice Hall. Quinta edición. “Digital systems principles and applications”. Traducido por: Edmundo G. Urbina M.  WARKELY, John F. (1997). Diseño digital principios y prácticas. México: Prentice Hall.. “Digital design principles and practices”. Traducido por: Gutiérrez R. Raymundo H.  BENVENUTO, Omar (1998). Manual práctico de Circuitos Digitales. Barquisimeto Estado Lara.