PRIMER CURSO – SEGUNDO CUATRIMESTRE ELECTRÓNICA DIGITAL TODO EL TEMARIO TEMA 1: Aspectos generales de los sistemas di
Views 83 Downloads 0 File size 18MB
PRIMER CURSO – SEGUNDO CUATRIMESTRE
ELECTRÓNICA DIGITAL
TODO EL TEMARIO
TEMA 1: Aspectos generales de los sistemas digitales TEMA 2: Circuitos combinacionales TEMA 3: Biestables TEMA 4: Registros y contadores TEMA 5: Síntesis de Sistemas Secuenciales
,QWURGXFFLyQ 7LSRVGHPDJQLWXGHV
(OHFWUyQLFD'LJLWDO
6HxDOHVDQDOyJLFDV 3XHGHQWRPDULQILQLWRVYDORUHVHQWUHXQPtQLPR\XQ Pi[LPR
6HxDOHVGLJLWDOHV 6RQDTXHOODVFX\DPDJQLWXGVyORSXHGHWRPDUXQ Q~PHURILQLWRGHYDORUHVQ«Q 6LQ ODVHxDOHVELQDULD \VRORSRGUiWRPDUORV YDORUHV\
7HPD $VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
$OWXUDDODTXH QRVVLWXDPRVDO VXELUXQDHVFDOHUD 0DJGLJLWDO
$OWLWXGDODTXH YXHODQORVJORERV 0DJDQDOyJLFD
9HQWDMDVHLQFRQYHQLHQWHV (OHFWUyQLFD'LJLWDO
ËQGLFH
3URJUDPDEOHVHVWDEOHVUHSHWLEOHV $OPDFHQDPLHQWRILDEOHVGHULYDVWHPSRUDOHV LQPXQLGDGDOUXLGR
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
,QWURGXFFLyQ 6HSXHGHWUDEDMDUFRQVHxDOHVDQDOyJLFDV \RGLJLWDOHV
,QWURGXFFLyQ ÈOJHEUDGH%RROH %LWFyGLJRGLJLWDOQLYHOOyJLFRFyGLJRVGH QXPHUDFLyQ )XQFLRQHVOyJLFDVEiVLFDV3XHUWDVOyJLFDV 'LVHxRGHXQFLUFXLWRGLJLWDOEiVLFR /HQJXDMHVGHGHVFULSFLyQVRIWZDUH )DPLOLDVOyJLFDV
$PSOLILFDGRU 6HQVRUGH7 DGHFXDODVHxDO DQDOyJLFR 7
$'&
(OHFWUyQLFD'LJLWDO
t
&RQMXQWRGHHOHPHQWRV LQWHUFRQHFWDGRVTXHHYROXFLRQDQ GHIRUPDFRRUGLQDGDVLJXLHQGR GHWHUPLQDGDVUHJODVRUHODFLRQHV (QWUDGDV 6DOLGDV 6LVWHPD (QQXHVWURFDVRORVXWLOL]DUHPRV SDUDSURFHVDUVHxDOHVHOpFWULFDV FLUFXLWRV (VWUXFWXUD)XQFLyQ $QiOLVLV6tQWHVLV
(OHFWUyQLFD'LJLWDO
!
&RPSGLJLWDO &yGLJRUHI (M
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
9,
'HVDUUROODGRSRU*HRUJH%RROHHQ 8QiOJHEUDGH%RROHHVXQDVp[WXSODIRUPDGDSRU
v
6LVWHPD
&RPS DQDORJ 1LYHOUHI
ÈOJHEUDGH%RROH
6HxDO
FyGLJRGLJLWDO
(M
&DOHIDFFLyQ $LUH DFRQGLFLRQDGR
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
0DJQLWXGItVLFDTXHYDUtD3XHGH VHUySWLFDDF~VWLFDHWF (QQXHVWURFDVRWUDEDMDUHPRV FRQVHxDOHVHOpFWULFDVYROWDMH HLQWHQVLGDGTXHSXHGHQ UHSUHVHQWDUODYDULDFLyQGHRWUD PDJQLWXGWHPSHUDWXUDSUHVLyQ HWF W
VHxDODQDORJ J
,QWURGXFFLyQ
9,
9,
«
(OHFWUyQLFD'LJLWDO
(M&LUFXLWRGHFRQWUROGHWHPSHUDWXUD7
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
8QFRQMXQWRGHHOHPHQWRV $ GRVYDORUHVSRVLEOHV DOJHEUDGH%RROHELYDOHQWH SDUDORV HOHPHQWRVGHOFRQMXQWR$ \ GRVRSHUDFLRQHVELQDULDVSURGXFWR WDPELpQGHQRPLQDGD³\´ ³DQG´ HQLQJOpV\GHQRWDGDFRQXQSXQWRā \VXPD WDPELpQ GHQRPLQDGD³R´RUHQLQJOpV\GHQRWDGDSRU XQDRSHUDFLyQXQLWDULDQHJDFLyQ QRWHQLQJOpV\GHQRWDGDFRQ XQDOtQHDVREUHODYDULDEOHQHJDGD
TXHFXPSOHQXQDVHULHGHSULQFLSLRV\WHRUHPDVTXHVH HQXQFLDUiQHQODVVLJXLHQWHVSiJLQDV &ODXGH6KDQQRQGHPRVWUyTXHUHSUHVHQWDQGR FRGLILFDQGR ODVPDJQLWXGHVVHxDOHV\Q~PHURV PHGLDQWH¶V\¶VVHSXHGHQUHDOL]DUFRPSOHMDV RSHUDFLRQHVOyJLFDV\PDWHPiWLFDVGHIRUPD UHODWLYDPHQWHVLPSOHFRQFLUFXLWRVTXHRSHUDQXVDQGRHO DOJHEUDGH%RROH (OHFWUyQLFD'LJLWDO
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
%LWFyGLJRGLJLWDOQLYHOOyJLFRFyGLJRVGH QXPHUDFLyQ
ÈOJHEUDGH%RROH
7HRUHPDVGHOÈOJHEUDGH%RROH
%LW %LQDU\GLJLW PtQLPDXQLGDGGHLQIRUPDFLyQ GLJLWDOFX\RYDORUSXHGHVHUyFDGDXQDGHODV YDULDEOHVGHODOJHEUDGH%RROHHVXQELW
3ULQFLSLRGH'XDOLGDG DWRGDUHODFLyQOyJLFDOH
FRUUHVSRQGHUiVXGXDOLQWHUFDPELDQGRORVRSHUDGRUHVGH VXPDOyJLFDFRQORVGHSURGXFWROyJLFR\ORVFRQORV (MHPSOR [[ [•[
&yGLJRGLJLWDOFRQMXQWRGHELWVTXHVHXWLOL]DUiQ SDUDUHSUHVHQWDUXQQ~PHURXQDPDJQLWXGHWFFRQ ¶V\¶V
∀ [∈ %
7HRUHPD/H\GHHOHPHQWRVQXORV \
(MQ~PHURGHFLPDO FyGLJRELQDULRQDWXUDO
D [ E [•
∀[∈%
1LYHOOyJLFRORVFLUFXLWRVUHDOHVTXHLPSOHPHQWDQ ODVRSHUDFLRQHVGHOiOJHEUDGH%RROHXWLOL]DUiQXQRV GHWHUPLQDGRVQLYHOHVGHWHQVLyQSDUDUHSUHVHQWDUHO YDORUGHFDGDELW(M
7HRUHPD/H\GHHOHPHQWRVQHXWURV D [ [ E [• [
∀[∈%
ĺ QLYHOEDMRGHWHQVLyQ/ FHUFDQRDPDVD>99@ ĺQLYHODOWRGHWHQVLyQ+ FHUFDQRD9FF >99@
7HRUHPD,QYROXFLyQ [ [
∀[∈%
(OHFWUyQLFD'LJLWDO
(MHPSORV
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
%LWFyGLJRGLJLWDOQLYHOOyJLFRFyGLJRVGH QXPHUDFLyQ
ÈOJHEUDGH%RROH
7HRUHPDVGHOÈOJHEUDGH%RROH
6HJ~QHOFyGLJRGHQXPHUDFLyQH[LVWHQGLVWLQWDV IRUPDVGHUHSUHVHQWDUORVGDWRVVHxDOHVPHGLGDV
7HRUHPD,GHPSRWHQFLD D [[«[ [ E [•[• … •[ [
(OHFWUyQLFD'LJLWDO
∀[∈%
GHFLPDO
7HRUHPD3URSLHGDGFRQPXWDWLYD
%&'
D [\ \[ E [•\ \ \•[ \ ∀ [\ \∈%
GHFLPDOFRGLILFDGR HQELQDULR
7HRUHPD3URSLHGDGGLVWULEXWLYD D [\•] [\ [] E [•\] [•y)+(x•z) ∀ [\] ∈ %
7HRUHPD$EVRUFLyQ D [[•\ [ E [•[\ [ (OHFWUyQLFD'LJLWDO
∀ [\ ∈ %
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
ÈOJHEUDGH%RROH
8QDIXQFLyQOyJLFDGHVFULEHHOFRPHWLGRTXHUHDOL]D XQFLUFXLWRGLJLWDO 3XHGHUHSUHVHQWDUVHELHQPHGLDQWHXQDH[SUHVLyQ DOJHEUDLFDRELHQPHGLDQWHXQDWDEODGHYHUGDG(M
∀ [\∈ %
7HRUHPD/H\DVRFLDWLYD
EHQWUDGD
∀ [\]∈ [ \ ]∈%
([SUDOJHEUDLFD V EāDEāD
/H\GH'H 0RUJDQJHQHUDOL]DGD
(OHFWUyQLFD'LJLWDO
∀ DE«T∈ %
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
V VDOLGD VVDOLGD 7DEODGHYHUGDG EDV
∀ [\∈ %
DE«T D•E•… •q D•E•…•q DE«T
&LUFXLWR GL LW O GLJLWDO
DHQWUDGD
7HRUHPD/H\GH'H 0RUJDQ D [\ [•\ E [•\ [\
,QWURGXFFLyQ
7HRUHPD6LPSOLILFDFLyQ
D [\] [\ ] [\] E [•\•] [•\ •] [•\•] E [•\•]
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)XQFLRQHVOyJLFDVEiVLFDV
7HRUHPDVGHOÈOJHEUDGH%RROH
D [[•\ [\ E [•[\ [•\
(OHFWUyQLFD'LJLWDO
2WURHMHPSOR
(OHFWUyQLFD'LJLWDO
I F E D = F ⋅ D + E ⋅ D 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)XQFLRQHVOyJLFDVEiVLFDV
)XQFLRQHVOyJLFDVEiVLFDV
3XHUWDVOyJLFDV
3XHUWDVOyJLFDV
125 ODVDOLGDHVVRORFXDQGRWRGDVODVHQWUDGDVVRQ
/DVRSHUDFLRQHVRIXQFLRQHVOyJLFDVEiVLFDV$1' 251RW TXHVHGHVFULEHQDFRQWLQXDFLyQVRQOD EDVHGHWRGRVORVVLVWHPDVGLJLWDOHVTXHVHLUiQ YLHQGRDORODUJRGHOFXUVR
D
6 DE D•E
E
6
;25 ;252UH[FOXVLYDODVDOLGDHVFXDQGRHOQ~PHURGH 2U H[FOXVLYD OD VDOLGD HV FXDQGR HO Q~PHUR GH HQWUDGDVDHVLPSDUFRQVLGHUDQGRHOSDU
3DUDFDGDRSHUDFLyQRIXQFLyQOyJLFDVHPXHVWUD
& D⊕E DEDE
VXH[SUHVLyQDOJHEUDLFD VXWDEODGHYHUGDG ORVVtPERORV,(&H,(((WUDGLFLRQDOUHVSHFWLYDPHQWH GHO FLUFXLWRTXHLPSOHPHQWDGLFKDIXQFLyQHQXQPRQWDMHUHDO
D
E
6
;125& D⊕E SXHUWD;25QHJDGD (OHFWUyQLFD'LJLWDO
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
(OHFWUyQLFD'LJLWDO
)XQFLRQHVOyJLFDVEiVLFDV
3XHUWDVOyJLFDV
$YHFHVKD\TXHLPSOHPHQWDUODVRSHUDFLRQHVFRQXQWLSR HVSHFtILFRGHSXHUWDVSDUDDSURYHFKDUUHFXUVRV«
6HJXLGRUEXIIHU/DVDOLGDVLJXHDODHQWUDGD D
6
(TXLYDOHQFLDVIUHFXHQWHVHQWUHSXHUWDV
127LQYHUVRU/DVDOLGDHVODLQYHUVDGHODHQWUDGD D
6 D
6
$1'SURGXFWROyJLFRODVDOLGDHVFXDQGRWRGDVODV HQWUDGDVVRQ 6 D•E
D
E
(OHFWUyQLFD'LJLWDO
6
DE«T
(OHFWUyQLFD'LJLWDO
6
6 D•E DE
7pUPLQRVGHODIXQFLyQOyJLFDVXPDV\RSURGXFWRV 7pUPLQRFDQyQLFRLQFOX\HWRGDVODVYDULDEOHVGHODIXQFLyQ 0LQWHUPSURGXFWRGHWRGDVODVYDULDEOHVGHODIXQFLyQQHJDGDVRQR (MPLQWHUPGHIFED FED
0D[WHUPVXPDGHWRGDVODVYDULDEOHVGHODIXQFLyQQHJDGDVRQR (MPD[WHUPGHIFED FED
1$1'ODVDOLGDHVVyORFXDQGRWRGDVODVHQWUDGDV VRQ D E 6
DE«T
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
$OJXQDVGHILQLFLRQHV
25VXPDOyJLFDODVDOLGDHVVyORVLWRGDVODV HQWUDGDVVRQ
DE«T
)XQFLRQHVOyJLFDVEiVLFDV
3XHUWDVOyJLFDV
6 DE
¢3RUTXpXWLOL]DUSXHUWDV1$1'" T S
3DUDSDVDUXQSURGXFWRDVXPDRYLFHYHUVD QHJDUOD IXQFLyQYHFHV\DSOLFDUODOH\GH0RUJDQDODSULPHUDQHJDFLyQ
)XQFLRQHVOyJLFDVEiVLFDV
E
127FRQ1$1' 127FRQ125 $1'FRQ125 25FRQ1$1' $1'FRQ1$1' 125FRQ1$1' 127FRQ;25 %XIIHUFRQ;25
DE«T DE«T DE«T
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
D
)XQFLRQHVOyJLFDVEiVLFDV
3XHUWDVOyJLFDV
6 D
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)XQFLyQFDQyQLFDVXPDGHPLQWHUPVRSURGXFWRGHPD[WHUPV 6HREWLHQHDSDUWLUGHODWDEODGHYHUGDGXRSHUDQGRDOJHEUDLFDPHQWH
6LPSOLILFDFLyQGHIXQFLRQHVOyJLFDVPHGLDQWH.DUQDXJK\RRSHUDQGR
)XQFLRQHVLQFRPSOHWDV ODVDOLGDQRHVWiGHILQLGDSDUDDOJXQDVFRPELQDFLRQHV GHHQWUDGDHQHVWDVFRPELQODVDOLGDSXHGHVHULQGLIHUHQWHPHQWHyÆ ; /DVFRPELQDFLRQHVGHHQWUDGDQRSXHGHQGDUVHRVRQLQGLIHUHQWHVSDUDHOGLVHxR (MHPSORGHWHFWDUORVQ~PHURVTXHVRQSDUHVHQXQGDWR%&'GHELWV
(OHFWUyQLFD'LJLWDO
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
(OHFWUyQLFD'LJLWDO
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
'LVHxRGHXQFLUFXLWRGLJLWDOEiVLFR
/HQJXDMHVGHGHVFULSFLyQKDUGZDUH
/DUHVROXFLyQGHXQSUREOHPDTXHUHTXLHUDHOGLVHxR GHXQFLUFXLWRGLJLWDOSDUWLUiGHXQHQXQFLDGRFRPRHO VLJXLHQWH 6LVWHPD GH UHFXHQWR GH YRWRV /D MXQWD GLUHFWLYD GH XQ HTXLSR HVWi IRUPDGD SRU XQ SUHVLGHQWH ³D´ \ WUHV YRFDOHV ³E´ ³F´ \ ³G´ (Q XQD YRWDFLyQ QLQJ~Q PLHPEUR GH OD MMXQWD S SXHGH DEVWHQHUVH \ ODV GHFLVLRQHV VH WRPDQ VLHPSUH S S SRU PD\RUtD \ VLPSOH PLWDG PiV XQR (Q FDVR GH HPSDWH GHFLGH HO YRWR GHO SUHVLGHQWH /DV GHFLVLRQHV VRQ ELQDULDV VyOR VH SXHGH YRWDU 6Ë R 12 \ SDUD OOHYDUODV D FDER FDGD PLHPEUR GHO WULEXQDO GLVSRQH GH XQ ERWyQ TXH JHQHUD XQ OyJLFR VL HVWi SXOVDGR \ XQ VL QR HVWi SXOVDGR /RV PLHPEURV GH OD MXQWD VH KDQ SXHVWR GH DFXHUGR HQ TXH SXOVDU HO ERWyQ VHUi YRWDU 6Ë \ GHMDUOR VLQ SXOVDU VHUi 12 'LVHxH XQ FLUFXLWR GLJLWDO TXH UHFRMD ORV YRWRV GH FDGD PLHPEUR GH OD MXQWD \ DXWRPDWLFH HO SURFHVR GH GHFLVLyQ JHQHUDQGR HQ VX VDOLGD XQ OyJLFR VL OD PD\RUtD KD YRWDGR 6Ë \ XQ OyJLFR VL OD PD\RUtD YRWD 12 (OHFWUyQLFD'LJLWDO
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
9+'/HVWiQGDUPX\SRWHQWH
9(5,/2*
GHGLVHxRHQODLQGXVWULD entity Mux4 is port ( A B S, E O
: in bit_vector(3 downto 0); -- dato A 4 bits : in bit_vector(3 downto 0); -- dato B 4 bits : in bit; -- selección y enable : out bit_vector(3 downto 0)); -- salida 4 bits
end Mux4; architecture funcionmux of Mux4 is begin -- funcionmux -- purpose: selección l ió uno d de l los d datos A o B en la l salida lid -- type : combinational -- inputs : A, B, S, E -- outputs: O process (A, B, S, E) begin -- process if E = '0' then if S = '0' then O ŵĂdž͗DĄdžŝŵĂĐŽƌƌŝĞŶƚĞĞŶůĂĞŶƚƌĂĚĂĐƵĂŶĚŽůĂƉƵĞƌƚĂƌĞĐŝďĞƵŶ͚Ϭ͛͘
s/>ŵĂdž
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV
(OHFWUyQLFD'LJLWDO
&RPSDWLELOLGDGHLQWHUFRQH[LyQ
&RPSDWLELOLGDGHQWHQVLRQHV
&DUDFWHUtVWLFDVHOpFWULFDV
&ĂŵŝůŝĂ s
s s
sK,ŵŝŶ
s
sK>ŵĂdž
&ĂŵŝůŝĂ s
s s/,ŵŝŶ s/>ŵĂdž
sK,ŵŝŶ sK>ŵĂdž͗dĞŶƐŝſŶŵĄdžŝŵĂƋƵĞůĂƉƵĞƌƚĂĞŶƚƌĞŐĂĐŽŵŽ ͚Ϭ͛ĞŶƐƵƐĂůŝĚĂ͘ (OHFWUyQLFD'LJLWDO
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV
+RMDVGHFDUDFWHUtVWLFDVSDUiPHWURVHOpFWULFRV
sK,ŵŝŶ͗dĞŶƐŝſŶŵşŶŝŵĂƋƵĞůĂƉƵĞƌƚĂĞŶƚƌĞŐĂĐŽŵŽ ͚ϭ͛ĞŶƐƵƐĂůŝĚĂ͘
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
ŽŶĚŝĐŝſŶĚĞĐŽŵƉĂƚŝďŝůŝĚĂĚĞŶƚĞŶƐŝſŶ͗ ůĂƐƚĞŶƐŝŽŶĞƐůşŵŝƚĞƐƋƵĞůĂƉƵĞƌƚĂ ĞŶƚƌĞŐĂ ĐŽŵŽ ͛Ϭ͛ ĞŶƚƌĞŐĂĐŽŵŽ Ϭ LJ LJ ͚ϭ͛ ϭ ĚĞďĞŶĞƐƚĂƌĚĞŶƚƌŽ ĚĞďĞŶ ĞƐƚĂƌ ĚĞŶƚƌŽ ĚĞůŽƐŵĄƌŐĞŶĞƐƋƵĞĞŶƚŝĞŶĚĞĐŽŵŽ͛Ϭ͛ LJ͚ϭ͛ƌĞƐƉĞĐƚŝǀĂŵĞŶƚĞ͘ƐĚĞĐŝƌ͗
sK,ŵŝŶͮх s/,ŵŝŶͮ sK>ŵĂdžͮф s/>ŵĂdžͮ
sK>ŵĂdž sK,ŵŝŶLJ sK>ŵĂdž ĚĞůĂĨĂŵŝůŝĂ
(OHFWUyQLFD'LJLWDO
s/,ŵŝŶLJ s/>ŵĂdž ĚĞůĂĨĂŵŝůŝĂ 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV
)DPLOLDVOyJLFDV
&RPSDWLELOLGDGHLQWHUFRQH[LyQ
3DUiPHWURVWHPSRUDOHV
&RPSDWLELOLGDGHQFRUULHQWHV
&ĂŵŝůŝĂ
ŽŶĚŝĐŝſŶĚĞĐŽŵƉĂƚŝďŝůŝĚĂĚĞŶĐŽƌƌŝĞŶƚĞ͗ Ɖ ͲWĂƌĂĐĂĚĂŶŝǀĞů͕͚Ϭ͛ſ͚ϭ͕͛ůĂƐĐŽƌƌŝĞŶƚĞƐĐĂƌĂĐƚĞƌşƐƚŝĐĂƐŚĂŶĚĞƐĞƌĚĞƐĞŶƚŝĚŽ ĐŽŶƚƌĂƌŝŽ͕ĞƐĚĞĐŝƌ͕Ɛŝ/K>ŵĂdž ĚĞĞƐƉŽƐŝƚŝǀĂ;ĞŶƚƌĂŶƚĞͿ͕//>ŵĂdžĚĞĚĞďĞƐĞƌŶĞŐĂƚŝǀĂ ;ƐĂůŝĞŶƚĞͿLJǀŝĐĞǀĞƌƐĂ͘>ĂŵŝƐŵĂƌĞƐƚƌŝĐĐŝſŶĚĞďĞŶĐƵŵƉůŝƌ /K,ŵĂdž Ğ//,ŵĂdž͘ Ͳ ŶƌĞůĂĐŝſŶĂƐƵƐŵĂŐŶŝƚƵĚĞƐ͗
ƚĨ
VDOLGDHQSDVDUGHQLYHODOWRDQLYHOEDMR
ϵϬй
(MHPSORGH W3+/WLHPSRGHSURSDJDFLyQRUHWDUGR+/ IRUPDVGHRQGD SDUDXQLQYHUVRU WLHPSRWUDQVFXUULGRGHVGHTXHFDPELDOD
HQWUDGDKDVWDTXHODVDOLGDFRQPXWDGHQLYHO DOWRDQLYHOEDMR
WLHPSRWUDQVFXUULGRGHVGHTXHFDPELDOD HQWUDGDKDVWDTXHODVDOLGDFRQPXWDGHQLYHO EDMRDQLYHODOWR
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV
(OHFWUyQLFD'LJLWDO
ϭϬй
ϱϬй
ϱϬй
ƚW,>
W3/+ WLHPSRGHSURSDJDFLyQRUHWDUGR/+
Ͳ ^ŝĞŶĚŽůĂƐĨĂŵŝůŝĂƐLJĐŽŵƉĂƚŝďůĞƐ͕͎ƐĞƉƵĞĚĞĐŽŶĞĐƚĂƌƵŶŶƷŵĞƌŽŝŶĚĞĨŝŶŝĚŽ ĚĞĞŶƚƌĂĚĂƐĚĞƚŝƉŽĞŶůĂƐĂůŝĚĂĚĞƵŶĂƉƵĞƌƚĂ͍
ƚW>, ϱϬй
^
ϱϬй
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV
&RPSDWLELOLGDGHLQWHUFRQH[LyQ
3XHUWDVWULHVWDGR
3DUDTXHXQDFRQH[LyQ IDPLOLD$DWDFDQGRDOD% VHDFRPSDWLEOH HVQHFHVDULRTXH
3XHUWDWULHVWDGR WULVWDWH DTXHOODHQODTXHOD VDOLGDSXHGHDGRSWDUWUHVSRVLEOHVHVWDGRV QLYHOHV /+R= +L=+LJK,PSHGDQFH
ORVHDHQWHQVLRQHV\FRUULHQWHV
ϵϬй ϭϬй
WI WLHPSRGHEDMDGD WLHPSRTXHWDUGDOD
/K,ŵĂdžͮ х//,ŵĂdžͮ /K>ŵĂdžͮ х//>ŵĂdžͮ
(OHFWUyQLFD'LJLWDO
ƚƌ
WU WLHPSRGHVXELGD WLHPSRTXHWDUGDHQ SDVDUODVDOLGDGHQLYHOEDMRDQLYHODOWR
&ĂŵŝůŝĂ
(VWDVSXHUWDVGLVSRQHQGHXQWHUPLQDOGHFRQWURO &ĂŵŝůŝĂ
&ĂŵŝůŝĂ
D
(M¢&RPSDWLELOLGDGGHIDPLOLD/6DWDFDQGRD/97" E )DPLOLD
92+PLQ
92/PD[
9,+PLQ
9,/PD[
,2+PD[
,2/PD[
,,+PD[
,,/PD[
/6
9
9
9
9
P$
P$
Nj$
P$
/97
9
9
9
9
P$
P$
Nj$
Nj$
(OHFWUyQLFD'LJLWDO
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
3XHUWD WULHVWDGR IED
(OHFWUyQLFD'LJLWDO
)DPLOLDVOyJLFDV 3XHUWDVWULHVWDGR
1LYHOGHUXLGRHQFRQWLQXDTXHVHSXHGHWROHUDU
&ĂŵŝůŝĂ
sK,ŵŝŶ s/,ŵŝŶ s/>ŵĂdž sK>ŵĂdž
0DUJHQGHUXLGRD QLYHODOWR0510+
0DUJHQGHUXLGRD QLYHOEDMR0510/
+
s/,ŵŝŶLJ s/>ŵĂdž ĚĞůĂĨĂŵŝůŝĂ 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
F
D
\
/
;
=
+
/
/
+
+
+
\ =
/
(OHFWUyQLFD'LJLWDO
=
(OHVWDGR=ItVLFDPHQWHLQGLFDTXHODVDOLGDHVWi GHVFRQHFWDGDGHOFLUFXLWRHVXQFLUFXLWRDELHUWR
92+PLQ_± 9,+PLQ_
9,/PD[_± 92/PD[_
sK,ŵŝŶLJ sK>ŵĂdž ĚĞůĂĨĂŵŝůŝĂ
\ F
s
s
'HVDFWLYDGR
(MHPSOREXIIHUWULHVWDGR D
s
\ IED
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
0DUJHQGHUXLGR
&ĂŵŝůŝĂ
FRQWURO $FWLYDGR
FRQWURO
)DPLOLDVOyJLFDV
s
\
(OHFWUyQLFD'LJLWDO
7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV 3XHUWDVWULHVWDGR
$SOLFDFLyQ&RQHFWDUYDULDVVDOLGDVDOPLVPRSXQWRVLQ TXHKD\DFRQIOLFWRGHQLYHOHVHQWUHHOODV (QFDGDPRPHQWRWRGDVODVSXHUWDVHVWDUiQHQHVWDGR =PHQRVXQDTXHILMDUiHOQLYHODODVDOLGD A
Determine:
C1 O
Y
B C C2
&
&
&
+
/
/
/
+
/
/
/
+
CLK
> CLK
(QFURQRJUDPDV\WDEODVGHODVGDWDVKHHWV
QELHVWDEOHV
QB
K CLR Q
'1'
QB
QC
QD
K CLR Q
CLR
'1'
J
Q
> CLK
CLK
f
QA
f/2
QB
f/4
QC
f/8
K CLR Q
J
J
Q
> CLK
J
Q
> CLK
K CLR Q
Q
> CLK
K CLR Q
K CLR Q
CLK CLR
QD
f/16 0
1
0000 0001
2
3
4
5
6
7
8
9
10
11
12
13
14
15
0
1
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111
0000
0001
¢&RQWDGRUGHVFHQGHQWH" 7RPDQGRFRPRVDOLGDVODV4 9DULDQGRHOGLVHxR%LHVWDEOHVDFWLYRVHQIODQFRDVFHQGHQWH
('± 7HPD
5HJLVWURV\FRQWDGRUHV
&RQWDGRUHV
&RQVLGHUDFLRQHVFRQWDGRUHV DVtQFURQRV (VWDGRV9DORUHVGH4>'&%$@ 3UREOHPDV
6LHQGRQ HO Q~PHURGHELWV GHOFRQWDGRU
7RPDQGRFRPRVDOLGDVODV4 9DULDQGRGLVHxR-¶V\.¶VYDQGHSHQGLHQGRGHODV4 - ' = . ' = 4 $ ⋅ 4% ⋅ 4& - & = . & = 4 $ ⋅ 4% - % = . % = 4 $ - $ = . $ = ('± 7HPD
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
&/.
5HJLVWURV\FRQWDGRUHV
WS4
$
architecture RTL of cont_asc is signal Pre_Q: std_logic_vector(3 downto 0); begin process(CLK, CLR) begin if CLR = '0' then Pre_Q '0'); elsif (CLK'event and CLK='1') then Pre_Q '&%$@ 9HQWDMDV 0iVUiSLGRVTXHDVtQFURQRV 1RKD\HVWDGRVLQWHUPHGLRVSRUTXHORVLQVWDQWHVGH FRQPXWDFLyQ VRQ ORV PLVPRV FRQPXWDFLyQVRQORVPLVPRV 3UREOHPDV 0D\RUFRPSOHMLGDGGHGLVHxRUHTXLHUHQSXHUWDV
library ieee; &yGLJR9+'/ use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity cont_uni is port( I: in std_logic_vector(3 downto 0); CLK: in std_logic; CLR: in std_logic; LOAD in LOAD: i std_logic; td l i EN: in std_logic; UD: in std_logic; MXMN: out std_logic; Q: out std_logic_vector(3 downto 0)); end cont_uni;
7DPELpQSRGUtDQGLVHxDUVHELGLUHFFLRQDOHV &RQWDUiQDVFHQGHQWH\GHVFHQGHQWHHQIXQFLyQGH GHWHUPLQDGDVVHxDOHV8'«
, , , , 8' /2$'
(M5HDOLFHXQFRQWDGRUELGLUHFFLRQDOVtQFURQRHQIXQFLyQGH VHxDOGHHQWUDGD8'
&RQWDGRU %LQDULR %LGLUHFFLRQDO ELWV
(1
4 4 4 4
0;01
&/.
architecture RTL of cont_uni is signal Pre_Q: std_logic_vector(3 downto 0); begin process(CLK, CLR) begin if (CLR = '0') then Pre_Q '0'); elsif (CLK'event and CLK='1') then if (EN='1') then if (LOAD= (LOAD='0') 0 ) then Pre_Q