vhdl prueba contador 0-99

entity CONTA is Port ( CLK : in STD_LOGIC; SIETE_SEG : out STD_LOGIC_VECTOR (6 downto 0); CATODO : out STD_LOGIC_VECTOR

Views 147 Downloads 0 File size 9KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

entity CONTA is Port ( CLK : in STD_LOGIC; SIETE_SEG : out STD_LOGIC_VECTOR (6 downto 0); CATODO : out STD_LOGIC_VECTOR (3 downto 0) ; X : out STD_LOGIC); end CONTA; architecture Behavioral of CONTA is signal led : std_logic; signal led2 : integer range 0 to 2**4; signal led3 : integer range 0 to 2**4;

constant limite : natural := 50000000; signal count : integer range 0 to 2**26-1; begin clock : process (CLK) begin if CLK'event and CLK = '1' then count