Contador de 0 a 9 en VHDL

Contador de 0 a 9 en VHDL Objetivo Realizar un contador en un display de 7 segmentos utilizando un CPLD programado con M

Views 312 Downloads 7 File size 257KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

Contador de 0 a 9 en VHDL Objetivo Realizar un contador en un display de 7 segmentos utilizando un CPLD programado con MaxPlus II       

Material 1 Display ánodo común de 12.7mm modelo DA05 1 Resistencia de 220Ω Batería 9V 1 Oscilador de cristal con salida de señal cuadrada Fuente de 5V CPLD Max-Plus II Procedimiento El primer paso para lograr nuestro objetivo es la programación en VHDL en Max-Plus II. Obteniendo los siguientes programas. Este programa es un divisor de frecuencia el cual de la frecuencia de 4Mhz que se recibe del oscilador se obtiene una salida de 1 Mhz necesaria para nuestro propósito. --------------------------------------------------------------------------------------------------------------DIVISOR DE FRECUENCIA LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY contador_a_4 IS PORT( clock :IN BIT; SALD:OUT BIT); END contador_a_4; ARCHITECTURE A OF contador_a_4 IS BEGIN PROCESS (clock) VARIABLE count: INTEGER RANGE 0 TO 3999999; VARIABLE x: bit; BEGIN IF (clock = '1' AND clock'EVENT) THEN IF count < 3999999 THEN count:= count +1 x := '0'; ELSE count:= 0; x = '1'; END IF; END IF; SALD