Sistemas Digitales

Universidad Nacional de Colombia Práctica de Laboratorio I – Alarma contra Incendios. Docente: Alberto Sepúlveda Giraldo

Views 297 Downloads 5 File size 1MB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

Universidad Nacional de Colombia Práctica de Laboratorio I – Alarma contra Incendios. Docente: Alberto Sepúlveda Giraldo John Fredy Martínez 0298531

Sistemas Digitales. Septiembre de 2018 Resumen- El presente informe muestra los resultados obtenidos al realizar el diseño y la implementación del circuito de control para una alarma contra incendios aplicando la teoría del algebra de Boole utilizada en sistemas lógicos combinacionales y siguiendo los lineamientos establecidos en la guía práctica de Laboratorio.

I.

INTRODUCCIÓN:

El sector más desarrollado de la industria de la seguridad contra incendios en Latinoamérica, es el que tiene que ver con sistemas de detección y alarma. Cada mercado, no importa en qué país y no importa qué tan pequeño, incluye una o varias compañías que venden e instalan sistemas de detección y alarma. [1] Con base en lo anterior, gracias al desarrollo de la electrónica digital y los circuitos integrados de compuertas lógicas se pueden diseñar circuitos de control para alarmas contra incendios y muchos otros desarrollos que permiten el avance de la tecnología a nivel mundial. Por otra parte, circuitos simples desarrollados a través de la lógica digital pueden aplicarse en la pequeña y mediana empresa para mejorar el control de sus procesos, ahorrar energía entre otros.

II.

OBJETIVOS:



Generar la Tabla de Verdad de acuerdo con las condiciones de operación requeridas para el diseño.



Presentar el circuito simplificado, productos de sumas y sumas de productos,



Realizar la simulación del circuito diseñado.



Implementar el circuito en forma física.

III.

• • • • • • • • •

LISTA DE MATERIALES

Fuente de Tensión. Protoboard Decodificador BCD a siete segmentos CI 7447 Display de 7 segmentos LMS5161BS Compuerta XOR 74LS86 Compuerta AND 74LS08 Compuerta OR 74LS32 Diodo Led Resistencias de 300 Ω y 330 Ω

IV.

DISEÑO DEL CIRCUITO

Se requiere realizar un circuito para activar la alarma contra incendios (A) para la evacuación de un edificio. Para ello se tiene un sensor de gases (G), un sensor de humos (H), y dos señales procedentes de un termómetro que indican si la temperatura es mayor de 45 °C (T45) y si la temperatura es mayor de 60 °C (T60). Debido a que a veces los sensores detectan humos y gases que no siempre proceden de incendios (por ejemplo, de cigarrillos o las cocinas), para evitar falsas alarmas, la señal A se activará cuando se cumplan las siguientes condicione: • •



Para facilitar el desarrollo teórico se trabaja con la siguiente tabla equivalente reemplazando las variables como se muestra a continuación:

Si la temperatura es mayor de 60 °C siempre se activará la alarma. Si la temperatura está entre 45 °C y 60 °C se activará la alarma solo si se han detactado gases y humos (o ambos). Si la temperatura es menor de 45 °C se activará la alarma solo si se detectan gases y humos.

Tabla de verdad: Si se tienen 4 señales de entrada, los estados posibles son 2n siendo n el número de entradas. En este caso son 16 estados desde el 0000 al 1111.

Para realizar el desarrollo teórico, cuando la entrada sea negada se utilizará la comilla simple (‘) junto con la variable. Suma de productos: A = (x’y’z’v) + (x’y’zv) + (x’yz’v) + (x’yzv’) + (x’yzv) + (xy’z’v) + (xy’zv’) + (xy’zv) + (xyz’v’) + (xyz’v) + (xyzv’) + (xyzv) Productos de sumas:

A = (x+y+z+v) (x+y+z’+v) (x+y’+z+v) (x’+y+z+v) Circuito simplificado:

La simulación del circuito se realiza mediante el software Proteus como se muestra en la figura [1].

Se agrupan mintérminos posibles y se simplifica aplicando solo el álgebra de Boole: m1 y m3: (x’y’z’v) + (x’y’zv) = x’y’v m5 y m7: (x’yz’v) + (x’yzv) = x’yv m10 y m11: (xy’zv’) + (xy’zv) = xy’z m12 y m13: (xyz’v’) + (xyz’v) = xyz’ m14 y m15: (xyzv’) + (xyzv) = xyz m6: (x’yzv’) m7: (x’yzv) A = x’y’v + x’yv + x’yzv’ + xy’z’v + xy’z + xyz’ + xyz A = x’y’v + x’y(v+zv’) + xy’(z+z’v) + xy(z’+z) A = x’y’v + x’y(v+z) + xy’(z+v) + xy A = x’y’v + x’yv + x’yz + xy’z + xy’v + xy

Figura 1. Circuito simulado.

Mediante el software se pudo comprobar la operación de la Alarma bajo las condiciones presentadas en el enunciado de la guía de laboratorio. En el Anexo I se presentan los pantallazos de la simulación para las condiciones de activación.

VI.

MONTAJE DEL CIRCUITO

El montaje del circuito de muestra en la figura [2] y figura [3].

A = x’v(y+y’) + z(x’y+xy’) + x(y’v+y) A = x’v + z(x’y+xy’) + x(y+v) A = x’v + xy + xv + z(x’y+xy’) A = v(x’+x) + xy + z(x’y+xy’) A = v + xy + z(x’y+xy’) A = T60 + GH + T45 (G’H+GH’)

V.

SIMULACIÓN DEL CIRCUITO

Figura 2 Montaje del Circuito

señales de entrada se muestra en la figura [5].

Figura 3. Montaje del Circuito

El circuito se alimenta mediante una fuente de tensión con salida de 5 V DC, se utiliza un Dip Switch 4 Posiciones para los estados de entrada, la posición 1 es la señal de gases, la posición 2 es la señal de humos, la posición 3 temperatura a 45 °C y la posición 4 es la señal para temperatura 60 °C. El decodificador BCD a siete segmentos y el display permite observar los estados del 0000 al 1001 en número decimal según las señales de entrada activadas, para números mayores al 9 sólo se encienden unos segmentos en el display como se observa en la figura [4].

Figura 5. Activación alarma estado 0001 T>60 °C.

Se observa que la posición 4 del Dip Switch está activa, lo que corresponde a la señal de entrada T60 a un valor de 1 en la tabla de verdad. Los estados de operación del circuito se presentan en el Anexo II para una mejor visualización.

VII.

CONCLUSIONES.

✓ Se realizó la simplificación del circuito utilizando el álgebra de Boole y con base en el resultado se diseñó el circuito de control para la activación de la alarma contra incendios.

Figura 4. Visualización estado 1010 en display de 7 segmentos.

El LED rojo encendido indica la activación de la alarma contra incendios si las condiciones de entrada se cumplen con base a los lineamientos de la guía. La activación de la alarma cuando la temperatura sea superior a 60 °C independiente de las otras

✓ Se presentó la expresión simplificada para el diseño del circuito de control de la alarma contra incendios según las condiciones de operación requeridas en el enunciado de la guía. ✓ Se realizó la simulación en el software Proteus y se validó la operación del circuito de control para la alarma contra incendios antes de implementar el circuito físico.

✓ Se implementó el circuito de control para la alarma contra incendio en protoboard y con los materiales propuestos según diseño. ✓ Se logró afianzar conocimientos en el manejo del algebra de Boole y compuertas lógicas al aplicar los conocimientos adquiridos a un circuito real.

REFERENCIAS [1] http://www.nfpajla.org/columnas/puntode-vista/405-sistemas-de-deteccion-deincendios. Apuntes clase sistemas digitales. Documento guía laboratorio. Alldatasheet.com

ANEXO I Estado 0001 – Solo sensor de temperatura enviado señal T>60 °C.

Se observa que el display muestra el número 1 correspondiente a la entrada. En esta condición la alarma se activa como lo indica el LED verde en la simulación. Si esta entrada está activa independientemente de las otras, la alarma siempre se activará. Estado 1000 – Solo sensor de gases enviado señal.

Se observa que el display muestra el número 8 correspondiente a la entrada. En esta condición la alarma no se activa.

Estado 0100 – Solo sensor de humos enviado señal.

Se observa que el display muestra el número 4 correspondiente a la entrada. En esta condición la alarma no se activa. Estado 1100 – Sensores de gas y humo enviado señal.

Se observa que en el display se encienden unos segmentos que no representan un número decimal. En esta condición la alarma se activa según los requerimientos de diseño.

Estado 0010 – Solo sensor de temperatura enviado señal 45 °C < T < 60 °C.

Se observa que el display muestra el número 2 correspondiente a la entrada. En esta condición la alarma no se activa Estado 1010 – Sensor de gases y sensor de temperatura enviado señal 45 °C < T < 60 °C.

Se observa que en el display se encienden unos segmentos que no representan un número decimal. En esta condición la alarma se activa según los requerimientos de diseño.

Estado 0110 – Sensor de humo y sensor de temperatura enviado señal 45 °C < T < 60 °C.

Se observa que el display muestra el número 6 correspondiente a la entrada. En esta condición la alarma se activa.

ANEXO II Estado 0001 – Solo sensor de temperatura enviado señal T>60 °C.

Se observa que el display muestra el número 1 correspondiente a la entrada. En esta condición la alarma se activa como lo indica el LED rojo en el circuito implementado. Si esta entrada está activa independientemente de las otras, la alarma siempre se activará. Estado 1000 – Solo sensor de gases enviado señal.

Se observa que el display muestra el número 8 correspondiente a la entrada. En esta condición la alarma no se activa.

Estado 0100 – Solo sensor de humos enviado señal.

Se observa que el display muestra el número 4 correspondiente a la entrada. En esta condición la alarma no se activa. Estado 1100 – Sensores de gas y humo enviado señal.

Se observa que en el display se encienden unos segmentos que no representan un número decimal. En esta condición la alarma se activa según los requerimientos de diseño.

Estado 0010 – Solo sensor de temperatura enviado señal 45 °C < T < 60 °C.

Se observa que el display muestra el número 2 correspondiente a la entrada. En esta condición la alarma no se activa, para que se active en este rango de temperatura el sensor de humo y/o gases deben de estar activados. Estado 1010 – Sensor de gas y sensor de temperatura enviado señal 45 °C < T < 60 °C.

Se observa que en el display se encienden unos segmentos que no representan un número decimal. En esta condición la alarma se activa según los requerimientos de diseño como lo indica el LED rojo.

Estado 0110 – Sensor de humo y sensor de temperatura enviado señal 45 °C < T < 60 °C.

Se observa que el display muestra el número 6 correspondiente a la entrada. En esta condición la alarma se activa. Estado 1111 – Todas las señales de entrada activas.

Se observa que el display muestra todos sus segmentos apagados, todas las salidas del decodificador BCD a siete segmentos están a 5 V DC, al display ser de ánodo común los segmentos se apagan. En esta condición la alarma se activa.