Sistemas digitales

SISTEMAS DIGITALES Y ENSAMBLADORES Segunda Entrega TUTOR Giovanny Piedrahita PRESENTADO POR: Javier Felipe Ramirez Serg

Views 210 Downloads 4 File size 424KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

SISTEMAS DIGITALES Y ENSAMBLADORES Segunda Entrega

TUTOR Giovanny Piedrahita PRESENTADO POR: Javier Felipe Ramirez Sergio Andres Tobon Joan Estiben Vargas Wilson Gutierrez

cód. 1721020468 cód. 1720660193 cód. 1620660007 cód. 1621024374

Institución Universitaria Politécnico Grancolombiano Ingeniería en Desarrollo de Software Bogotá Octubre 2019

Bogotá Abril de 201

1

Segunda Entrega: Sistemas Digitales y Ensambladores .

Resumen—Este trabajo perteneciente al módulo de Sistemas Digitales y ensambladores tiene como objetivo realizar el diseño de una memoria RAM con el fin de aplicar en campo de la investigación, el proyecto consiste en el desarrollo de un problema de Diseño de una Memoria RAM, en donde se realizará inicialmente el diseño de todos los elementos de control de lectura y escritura de una memoria RAM, diseño de las celdas de Almacenamiento y el diseño del control secuencial. Abstract-This work belonging to the Digital Systems and Assemblers module aims to perform the design of a RAM memory in order to apply in the field of research, the project consists of the development of a RAM Memory Design problem, in where the design of all the read and write control elements of a RAM memory, design of the storage cells and the design of the sequential control will be carried out initially.

Palabras claves: Memoria RAM, circuito de control, decodificador, logisim. Keywords: RAM memory, control circuit, decoderr, logisim.

I.

INTRODUCCIÓN

En este documento se pretende realizar el desarrollo de las actividades propuestas para la semana 3, donde se planteó diseñar el sistema de control de lectura y escritura de una memoria RAM , el documento se centrara en el diseño, cálculos e investigación con respecto a la entrega final del Diseño de una Memoria RAM.

parte de ordenadores, consolas de videojuegos, teléfonos móviles, tablets, entre otros aparatos electrónicos. B. Tipos de memoria RAM DDR conocida como SDRAM (Synchronous Dram) es un tipo de memoria RAM, dinámica que es casi un 20% más rápida que la RAM EDO. Esta memoria entrelaza dos o más matrices de memoria interna de manera que mientras se accede a una matriz, la próxima se está preparando para acceder, dicha memoria permite leer y escribir datos a 2 veces la velocidad buz. DDR2 son unas mejoras de la memoria DDR que permite que los búferes de entrada – salida funcionan al doble de la frecuencia del núcleo, permitiendo que durante cada ciclo de reloj se realizan 4 transferencias. Una memoria DDR a 200 MHZ reales entregaba 400 MHZ nominales, la DDR2 con esos mismos 200 MHZ entrega 800 MHZ NOMINALES. DDR3 puede ser 2 veces más rápida que la memoria DRR2, la DDR3 teóricamente podía transferir datos a una tasa de reloj efectiva de 800-2600 MHZ, comparado con el rango de DDR2 de 400-1200MHZ o 200-533MHZ del DDR2. Memoria caché o RAM caché un caché es un sistema especial de almacenamiento de alta velocidad, puede ser tanto un área de reservada de la memoria principal como un dispositivo de almacenamiento de alta velocidad independiente. Una memoria caché es una parte de memoria RAM estática de alta velocidad (SRAM) más que la lenta y barata RAM dinámica (DRAM) usada como memoria principal. La memoria caché es efectiva debido a que los programas acceden una y otra vez a los mismos datos e instrucciones.

III. II.

DEFINICION E INVESTIGACION

A. Definición Memoria RAM La memoria RAM es la memoria principal de un dispositivo donde se almacena programas y datos informativos. Las siglas RAM significan “Random Access Memory” traducido al español es “Memoria de Acceso Aleatorio”. La memoria RAM es conocida como memoria volátil lo cual quiere decir que los datos no se guardan de manera permanente, es por ello, que cuando deja de existir una fuente de energía en el dispositivo la información se pierde. Asimismo, la memoria RAM puede ser reescrita y leída constantemente. Los módulos de RAM, conocidos como memoria RAM son integrantes del hardware que contiene circuitos integrados que se unen al circuito impreso, estos módulos se instalan en la tarjeta madre de un ordenador. Las memorias RAM forman

DEFINICIÓN DEL PROBLEMA.

El proyecto para este módulo consiste en un problema de diseño de una memoria RAM. Este problema se divide en tres partes. a.

Diseño de los elementos de control de lectura/escritura de una memoria RAM.

b.

Diseño de las celdas de almacenamiento de memoria RAM.

c.

Diseño de control secuencial de una memoria RAM.

IV.

SEGUNDA ENTREGA

Propuesta de diseño de tres circuitos combinables en logisim que contengan:

2 Tab. 1. Tabla de Verdad para las diferentes entradas Continuando con el diseño de una memoria RAM para esta entrega se solicita:

Representación en Karnaugh

A) Una celda de almacenamiento de un bit para una memoria RAM utilizando cerrojos (latches). B) Utilizando la celda de un bit, montar una celda de 4 bits (nibble). C) Utilizando el byte, montar 4 bytes consecutivos. D) Montar una matriz de memoria de 64 palabras de 4 bits, es decir de 8 x 8 nibbles, utilizando los elementos de control de la entrega uno, diseñe un circuito que le permita la lectura/escritura de los datos de cada una de las celdas. E) Utilice el decodificador de binario a 7 segmentos diseñado en la primera entrega para la visualización de los datos.

Fig.2. Mapa para producto

A. Diseño de una celda de almacenamiento de un bit. Las memorias son dispositivos de almacenamiento de datos binarios (bit) a corto y largo plazo, cada elemento de memoria capaz de almacenar un bit, recibe el nombre de celda [4]

Fig. 3 Mapa para suma

B) Utilizando la celda de un bit, montar una celda de 4 bits. Fig. 1 Celda de almacenamiento de un bit utilizando cerrojos latche. Diseño propio

El circuito esta compuesto por tres entradas WE, D, CS y una salida en X

Fig. 4. Conexión de 4 bits. Diseño propio

3 C) Utilizando el byte, montar 4 bytes consecutivos. Cada grupo de 8 bits se conoce como byte u octeto. Es la unidad de almacenamiento en memoria, la cual está constituida por un elevado número de posiciones que almacenan bytes. La cantidad de memoria de que dispone un sistema se mide en Kilobytes (1 Kb = 1024 bytes), en Megabytes (1 Mb = 1024 Kb), Gigabytes (1 Gb = 1024 Mb), Terabytes (1 Tb = 1024 Gb) o Petabytes (1 Pb = 1024 Tb). Para este caso, se diseñó un circuito con 1 byte haciendo uso de 8 Nibble (Creados previamente) y se añaden dos pones de 8 bits en la entrada y la salida, así como entradas WE y CS.

E) Utilice el decodificador de binario a 7 segmentos diseñado en la primera entrega para la visualización de los datos. DECODIFICADOR BINARIO A 7 SEGMENTOS

En la anterior entrega se obtuvieron las fórmulas que corresponden a visualizar los números del 0 al 9 en el display 7 segmentos y nos ayudamos de la herramienta Logisim para obtener el diagrama del circuito utilizando compuertas NAND o la combinación de NOT, OR y AND. También se hizo uso de los mapas de Karnough que nos permite simplificar el circuito. Para esta entrega se tiene en cuenta reemplazar todas esas compuertas por un Decodificador que tiene la misma funcionalidad mostrada. Se encuentra que el decodificador más común utilizado para trabajar con los Display 7 Segmentos es el BCD 74LS47 que usa display de ánodo común; su función es detectar en sus 4 entradas un código que representa un número o dígito del 0 al 9 en binario y transformarlo en decimal utilizando el Display 7 segmentos. Cuando se ingresa un valor de entrada cada salida presenta un estado que puede ser activado o desactivado. El decodificador 74LS47 consta de: •

Fig. 5 Diseño de 4 Bytes consecutivos



D) Conexión a una matriz 8x8.

• •

4 pines de entrada para ingresar el digito que se mostrará en binario 7 pines de salida que van conectados a cada segmento del display 3 pines de control 2 pines de alimentación, positivo y negativo.

Una vez construido el sub circuito de 4 bits, se conecta a una matriz de 8x8, tomando como base el modelo de la fig. Se realiza la table de verdad con entradas de E1 a E4, al calcar el valor de cada entrada se observa el display el número binario ejemplo: para el número 7 las entradas E2, E3 y E4 deben estar en 1 y la entrada E1 en 0.

Fig. 7 Pines del Decodificador 74LS47

La asignación de los pines del decodificador se resume en el siguiente cuadro:

Fig. 6 diseño propio

4

2) Seleccionar la opción de editar el circuito:

Fig. 8. Diagrama electrónico para la conexión entre el decodificador y el display.

El decodificador 7447 es un circuito lógico que acepta un conjunto de entradas que representan números binarios y que activa solamente la salida que corresponde a dicho dato de entrada. En un decodificador, dependiendo de la combinación en sus entradas se determina qué número binario (combinación) se presenta a la salida correspondiente a dicho número, mientras tanto todas las otras salidas permanecerán inactivas. Este decodificador sirve para mostrar salidas decimales a entradas binarias. Las entradas pueden estar dadas por cualquier dispositivo que tenga 4 salidas digitales como la computadora, un micro, o simplemente utilizando switches para conmutar los unos y ceros

3) El software nos entrega un visualmente un solo circuito al cual nombraremos los pines:

A continuación se observa que el circuito entregado en la primera entrega realiza la misma funcionalidad que el decodificador.

4) Arrastramos la hoja del circuito hacia otra hoja donde realizamos el montaje final:

Para conseguir que esta simulación corresponda a una conexión real de un decodifiador y un display 7 segmentos se utilizó como herramienta el software Logisim y se siguieron los siguientes pasos: 1) Abrir la simulación realizada en la primera entrega: 5) Finalmente agregamos todos los elementos

5

Fig. 9. Simulación realizada en Logisim

V.

BIBLIOGRAFÍA

1.

Referencia sitio web: http://electronicateoriaypractica.com/como-usar-karnaugh/

2.

Lista de referencias de figuras Texample. (s.f). Segment Display [Diagrama] Recuperado de página web:http://www.texample.net/media/tikz/examples/P NG/segment-display.png

3.

Referencia sitio web http://www.electrontools.com/Home/WP/2016/03/09/ display-7-segmentos/

4.

Referencia sitio web: http://www.cburch.com/logisim/docs/2.1.0es/libs/mem/ram.html

5.

Referencia sitio web: https://www.youtube.com/watch?v=NCpdvByWFIc