Reloj Digital

RELOJ PARLANTE CON ALARMA Enrique Chávez Ríos INTRODUCCION El objetivo de este documento es orientar en el diseño y con

Views 117 Downloads 0 File size 326KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

RELOJ PARLANTE CON ALARMA Enrique Chávez Ríos

INTRODUCCION El objetivo de este documento es orientar en el diseño y construcción de un reloj parlante con alarma.

El bloque del buzzer servirá como alarma, este bloque servirá para el ajuste y almacenamiento de la hora a la que se desee activar una señal sonora mediante el buzzer. El último bloque de salida es el que corresponde a los display de 7 segmentos, con el cual se podrá visualizar la hora contenida en el reloj.

CONDICIONES DE DISEÑO Para la elaboración de este proyecto se tienen las siguientes condiciones de diseño:

Fig. 1 Diagrama general de entradas y salidas de un reloj digital. El primer paso en el diseño de un sistema digital es separar las entradas y salidas del sistema es decir, cuales son las variables a ingresar y ser procesadas y cuales serán los dispositivos que accionaran dichas entradas.

Funcionamiento con fuente CA y CD. Pulso de tiempo generado a partir del voltaje de la línea y de un oscilador en base a un cristal de cuarzo. Uso de un solo circuito decodificador. Circuito de ajuste y ejecución de alarma. Circuito de aviso auditivo de hora.

En la figura 1 se puede apreciar el diagrama de bloques general del reloj, separando los elementos de entrada y salida. Como entrada será el bloque de botones con los cuales se ajustarán y accionarán las funciones del reloj. Otra de las entradas es el bloque de alimentación, ya que tendrá dos vías de alimentación: el voltaje de línea y el voltaje de una batería. En la salida se tienen tres módulos: el parlante, el buzzer y los display. El bloque del parlante servirá para tener acceso a la hora de forma auditiva, cada vez que se presione un botón se reproducirá un mensaje auditivo que indicara la hora.

Fig. 2 Diagrama a bloques interno del reloj.

1

Para cumplir con la primer y segunda condición de diseño es aconsejable utilizar un circuito operacional configurado como comparador de voltaje, para así saber el momento cuando no haya presencia de voltaje de línea y pueda entrar la batería para alimentar al circuito.

Fig. 3 Diagrama eléctrico del circuito sugerido para detectar el voltaje de línea. En la figura 3 se puede ver un circuito sugerido para llevar a cabo esta acción. En el circuito se puede ver que el voltaje de entrada al circuito será el volate rectificado de la fuente del voltaje de línea.

La señal de la comparación del voltaje se utiliza para accionar a un relevador de dos polos dos tiros que conmutarán tanto a la señal de alimentación como al pulso de reloj. En la figura 2 se aprecia el diagrama a bloques interno del reloj, se aprecia el flujo de las señales mediante letras, las cuales se explican en la tabla 1. # Letra Descripción 1 B Señal proveniente de un botón. 2 C Bus de control. 3 D Bus de datos. 4 G Bus de la alarma. 5 R Bus de la señal de reloj. Tabla 1. Descripción de las conexiones del diagrama de bloques general. También en la figura 2 se aprecian en la parte inferior derecha de cada bloque un numero, este será el orden que se recomienda para la construcción del reloj y por lo tanto el orden que se explicara cada bloque.

BOTONES El primer bloque que se recomienda construir es el de los botones con los que el usuario interactuará con el sistema. Se recomienda al menos tener 6 botones, los cuales se enumeran desde B1 hasta B6.

Fig. 4 Conexiones sugeridas para la conmutación de fuentes y pulso de reloj

BOTON FUNCIÓN TIPO DE BOTÓN B1 Accionar el parlante B2 Incrementa cuenta PUSH BOTON B3 Selecciona contador B4 Ajuste hora B5 Ajuste alarma HOLDER BOTON B6 Acciona alarma Tabla 2. Descripción de los botones del reloj.

2

Fig. 6 Diagrama a bloques propuesto para la división de la frecuencia de línea.

Fig. 5 Diagrama a bloques del modulo de botones. Existen dos opciones para la generación de pulsos: incluir un MVM o mediante un MVA, mientras que en el primero se generará un pulso (W= 10mS) cada vez que se presione el botón, en la segunda opción solamente se dejara pasar un pulso (T = 10mS) cuando se presione dicho botón. El selector que se aprecia en la figura 6 sirve para que pase el pulso cuando se haya presionado B4 o B5. Se recomienda que solamente se construya un solo modulo de multivibrador para evitar que el consumo de energía sea grande y se descargue la batería rápidamente. La última recomendación para este modulo es sobre los botones B4 a B6 al necesitarse un voltaje sostenido uno de los errores comunes es no utilizar un transistor en el estado libre lo que ocasionará un mal funcionamiento al no tener un voltaje de entrada en las compuertas que se utilicen.

Para tal propósito se puede basa en la figura 6 en donde se muestra que se puede obtener el pulso de 1 segundo adaptando y dividiendo la frecuencia de la línea. La segunda opción para la generación del pulso es un oscilador con cristal de cuarzo, se utiliza este dispositivo porque permite una oscilación más precisa que un MVA a base de una red RC.

Fig. 7 Diagrama eléctrico de un circuito de oscilador con cristal.

GENERACION DE PULSO Para la generación del pulso que alimentara a la etapa de los contadores lógicos se debe de tomar en cuenta las condiciones de diseño, una de las cuales nos dice que mientras haya voltaje de línea el pulso debe de obtenerse de la frecuencia que para México según la norma es de 60Hz.

CONTADORES El corazón de todo el reloj serán los circuitos contadores. Se deben de utilizar al menos 6 contares que llevaran la cuenta del tiempo una vez ajustada la hora.

3

PULSO DEL BOTÓN AJUSTE DE HORA: Este pulso provendrá cada vez que se requiera ajustar la hora, se recomienda diseñar y construir un MVM de duración W=0.010 segundos para contrarrestar el efecto anti rebote. VOLTAJE DE BOTÓN DE AJUSTE DE HORA ACTIVO: Este voltaje estará presente cuando se oprima el botón para realizar el ajuste hora. En la figura 8 se aprecia que también este bloque cuenta con un selector, este tiene la función de “guiar” el pulso proveniente ya sea de B2 o del modulo del pulso a cada uno de los contadores de acuerdo a la señal que provenga del bus de control. Las salidas de este bloque serán las 16 terminales de los contadores de Decenas de Hora (DH), Unidades de Hora (UH), Decenas de Minutos (DM), Unidades de Minutos (UM).

CONTROL Este bloque es el que llevará el orden de cada uno de los contares tanto al modulo de la alarma como al párlate y de manera similar al bloque de multiplexaje. Fig. 8 Diagrama interno a bloques del modulo de contadores.

Las entradas de este módulo serán: Pulso de reloj. Pulso del botón ajuste de hora. Voltaje de botón de ajuste de hora activo.

PULSO DE RELOJ: El pulso de reloj debe de ser de 1 segundo, este pulso se obtendrá de dos maneras distintas: si esta energizado el sistema se dividirá los 60 Hz de la línea hasta llegar a un segundo, o en caso de que no se cuente con el voltaje de la línea se utilizara un cristal de cuarzo para la generación del pulso.

Fig. 9 Diagrama a bloques del modulo de control. Este modulo se encuentra compuesto por un contador de décadas el cual tendrá 4 salidas, este 4

este numero de salidas es proporcional al numero de display que se utiliza, a partir de ahora se referirá al bus de control como las terminales C0, C1, C2 y C3 siendo esta última el MSB que corresponderá al contador DH. En este bloque también se encuentra un selector, el cual como en el modulo de contadores sirve para guiar el pulso proveniente de B3 o del MVA, cuya frecuencia de oscilación será la de graficación y debe de ser al menos de T = 16.6 mS.

MULTIPLEXAJE El siguiente bloque a construir es el de multiplexaje, con el cual enviaremos los datos tanto de la alarma como de todos los contadores, esto se hará mediante un selector de señales y el bus de control.

Las entradas de este bloque son las 16 salidas del bloque de contadores. Se tiene que utilizar un circuito que tenga el tercer estado (Z) de alta impedancia para evitar el corto circuito entre las salidas de los contadores, el control lo realizara el bloque que lleva ese mismo nombre. En este bloque se generan otros dos buses de importancia el bus D y R. El bus D (datos) es el resultante de la discriminación entre el bus R y el bus G, es decir de las señales que vienen del reloj (R) y las que vienen de la alarma (G). Sin embargo cabe señalar que el bus R tiene otra variable que se utilizara al programar la alarma o solicitar mediante el bloque del parlante la hora el bus R. R0 R0 R1 R1 +C1=R1 +C2=R2 R2 R2 R3 R3 R0 R0 R1 R1 +C3=R3 +C4=R4 R2 R2 R3 R3 Tabla 3. Ecuaciones del bus R.

DISPLAY

Figura 10. Diagrama a bloques del modulo de multiplexaje.

Para realizar la visualización de la hora del reloj se utilizaran Display de 7 segmentos. Sin embargo se debe de tomar en cuanta una de las condicione de diseño, la cual nos dice que solo se tiene que utilizar un decodificador. Entonces para cumplir con esta condición se tiene que conectar en serie todos los display esto es el segmento a del DS1 al segmento a del DS2 y así sucesivamente con los demás display y los otros segmentos. Finalmente se recomienda utilizar una resistencia por cada segmento y un transistor como interruptor electrónico.

5

PARLANTE

Fig. 11 Diagrama a bloques del modulo de dislpay.

Finalmente el último bloque, el cual como su nombre lo dice nos indicara mediante una bocina la hora contenida en el reloj. En el diagrama a bloques se aprecia que se puede utilizar una memoria de audio muy común en la actualidad, para el bloque de la lógica se puede utilizar una GAL, compuertas lógicas o simplemente realizar un ingenioso arreglo de la grabación de cada uno de los bloques.

ALARMA Para el bloque de la alarma como el diseño es complicado se recomienda utilizar una GAL (General Logic Array), ya que esta puede ser programada como tabla de verdad o de forma secuencial. Fig. 13 Diagrama a bloques del modulo del parlante. Para el amplificador se recomienda utilizar un transistor como amplificador ya que no se necesita demasiada potencia con obtener una señal de 15Watts es suficiente lo cual puede darla una configuración EC.

Fig. 12 Diagrama a bloques del modulo de la alarma. Como se aprecia en la figura 12 la salida de este bloque será una señal digital proveniente de la GAL, la cual servirá como Vcc para alimentar a un MVA a transistores que accionaran al buzzer.

6