Primera Entrega Sistemas Digitales

Sistemas digitales y ensambladores Propuesta de Diseño de Tres Circuitos Combinacionales Sistemas digitales y ensambla

Views 58 Downloads 0 File size 510KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

  • Author / Uploaded
  • Luis
Citation preview

Sistemas digitales y ensambladores

Propuesta de Diseño de Tres Circuitos Combinacionales

Sistemas digitales y ensambladores

Institución Universitaria Politécnico Grancolombiano Ingeniería en Desarrollo de Software

1

Sistemas digitales y ensambladores Diseño de una memoria RAM (Primera entrega) (Septiembre de 2019) accede simultáneamente.

Politécnico Grancolombiano Institución Universitaria Bogotá- Colombia

c)

tiempo de acceso (ta): es el que se tarda en leer o escribir una palabra en la memoria desde el momento que se direcciona. la velocidad de acceso ba=1/ta se mide en palabras/segundo. d) tipo de acceso: acceso aleatorio: cuando el tiempo de acceso es similar para cualquier posición acceso serie: cuando el tiempo de acceso depende de la posición que ocupa la palabra dentro de la memoria.ura 1. e) tiempo de ciclo (tc): indica el mínimo tiempo entre dos accesos sucesivos a la memoria. el tiempo tc es mayor que el tiempo ta. el ancho de banda de una memoria se define como la inversa de tc y es un indicativo de la cantidad de palabras procesables por unidad de tiempo. f) medio físico - electrónica: construidas con semiconductores. El ancho de banda de una memoria se define como la inversa de tc y es un indicativo de la cantidad de palabras procesables por unidad de tiempo. f) medio físico - electrónicas: construidas con semiconductores. utn-frm arquitectura de computadoras. Diseño de los elementos de control de lectura/escritura de una memoria RAM

El presente documento contiene la primera entrega del proyecto de aula del módulo Sistemas Digitales y ensambladores, proyecto aplicado en el campo de investigación, donde se pretende el uso de diferentes circuitos lógicos combinacionales para resolución de situaciones en el contexto de sistemas digitales, teniendo en cuenta los fundamentos teóricos vistos en el desarrollo de las actividades del módulo. Memorias Electrónicas: Las memorias son dispositivos de almacenamiento de datos e instrucciones, las llamamos sistemas de memoria al conjunto de estos dispositivos que a su vez son utilizados por algoritmos de hardware y o software de control. Diversos dispositivos son capaces almacenar información a fin de lograr interactuar con el procesador tuviese acceso inmediato e ininterrumpido a la memoria, lo deseable es que a mayor velocidad de procesamiento menor memoria RAM, desafortunadamente, memorias de velocidades similares al procesador son muy caras por esta razón la información almacenada se distribuye de forma compleja en una variedad de memorias diferentes, con características físicas distintas. Una clasificación funcional de las memorias es la siguiente: A) memoria interna: constituida por los registros internos de la cpu. este tipo de memoria se estudia en la unidad 5 y se caracteriza por su alta velocidad. B) memoria central (o principal): almacena programas y datos, es relativamente grande, rápida y es accedida directamente por la cpu a través de un bus. este tipo de memoria es parte de esta unidad. C) memoria secundaria: se usa para el almacenamiento de programas del sistema y grandes archivos. su capacidad es mucho mayor que las anteriores, pero más lenta y el acceso a la misma por parte de la cpu en indirecto. Las principales tecnologías son la magnética y la óptica. se pueden definir algunos parámetros generales aplicables a todas las memorias. a) b)

unidad de almacenamiento en bit. capacidad de almacenamiento: cantidad de bits que puede almacenarse. si bien la unidad de almacenamiento es el bit, muchas veces se usa el byte. así encontramos capacidades en kb (1kb = 1024 bytes), en mb (1mb = 1024 kb), en gb (1gb = 1024 mb), etc. Las memorias se consideran organizadas en palabras, cada palabra es un conjunto de bits a los cuales se

I.

ACTIVIDAD PARA DESARROLLAR

El proyecto para este módulo consiste en un problema de diseño de una memoria RAM, este problema está dividido en 3 partes. 1. 2. 3.

II.

Diseño de los elementos de control de lectura/escritura de una memoria RAM. Diseño de las celdas de almacenamiento de memoria RAM. Diseño de control secuencial de una memoria RAM.

PRIMERA ENTREGA

Se desea diseñar el sistema de control de lectura y escritura de una memoria RAM de 32 filas x 32 columnas, donde cada dato es de 4 bits. Se debe presentar una propuesta de diseño de tres circuitos de la siguiente manera: A.

Un decodificador que convierte una señal de 5 bits a un único dato (entre 0 y 31) para la selección de filas y columnas de una memoria RAM, y para el control de lectura y escritura

2 B.

de esta. Un circuito de control con tres entradas y dos salidas  Entrada chip select (CS) funciona como la habilitación de la memoria RAM.  Entrada write enable (WE) para activar la escritura en la memoria.  Entrada output enable (OE) para activar el envío de datos por el bus.

Cuando CS y WE están activados, la salida E (escritura) debe activarse. Si WE está activado, la salida L (lectura) debe permanecer inactiva. Si CS y OE están activados, la salida L (Lectura) debe activarse. C.

Un circuito conversor para un display de siete segmentos, que se utilizara para visualizar posteriormente el dato disponible en la memoria RAM. SOLUCIÓN PUNTO “A” PRIMERA ENTREGA

III.

La función del decodificador consiste en un circuito que reciba entradas para indicar la posición de una celda de las 32 opciones que se tienen en este ejercicio, para finalmente generar un resultado de la operación en sistema binario o hexadecimal. 



Se obtiene una matriz con 32 posiciones que hace referencia a los 5 bits, es decir 25 , la siguiente tabla muestra información de la operación.

Utilizando la herramienta logisim, agregamos la memoria RAM y la configuramos con las instrucciones dadas anteriormente. Es decir 32 posiciones y en cada posición 4 bits, equivalente a un valor hexadecimal.



9

0

1

0

0

1

10

0

1

0

1

0

11

0

1

0

1

1

12

0

1

1

0

0

13

0

1

1

0

1

14

0

1

1

1

0

15

0

1

1

1

1

16

1

0

0

0

0

17

1

0

0

0

1

18

1

0

0

1

0

19

1

0

0

1

1

20

1

0

1

0

0

21

1

0

1

0

1

22

1

0

1

1

0

23

1

0

1

1

1

24

1

1

0

0

0

25

1

1

0

0

1

26

1

1

0

1

0

27

1

1

0

1

1

28

1

1

1

0

0

29

1

1

1

0

1

30

1

1

1

1

0

31

1

1

1

1

1

Realizamos la representación del decodificador de una memoria RAM con la herramienta logisim y la correspondiente explicación de cada una de las partes que lo conforman.

Agregamos la siguiente tabla para determinar los valores binarios de cada uno de los decimales correspondientes a la posición de la memoria. Tabla de verdad a

b

c

d

E

0

0

0

0

0

0

1

0

0

0

0

1

2

0

0

0

1

0

3

0

0

0

1

1

4

0

0

1

0

0

5

0

0

1

0

1

6

0

0

1

1

0

7

0

0

1

1

1

8

0

1

0

0

0

 

 

De acuerdo con la imagen, el número (1) hace referencia a la posición de la memoria a la cual se desea acceder. De acuerdo con la imagen, el número (2) hace referencia a la salida del circuito, es decir el valor que se encuentra en la posición indicada anteriormente. De acuerdo con la imagen, el número (3) activa la memoria para obtener los datos en la dirección de salida. De acuerdo con la imagen, el número (4) permite el

3





ingreso o salida de datos a la memoria por medio de combinación al reloj. De acuerdo con la imagen, el número (5) reinicia toda la información que contenga la memoria.

Representación gráfica del decodificador, en la cual realizaremos la simulación de un dato en la posición “12” de la memoria RAM y almacenaremos el valor “F” (Hexadecimal) correspondiente al valor 15 (Decimal). 

Si WE está activado, la salida L (lectura) debe permanecer inactiva.



Si CS y OE están activados, la salida L (Lectura) debe activarse.  Obtenemos la tabla de verdad de acuerdo al circuito creado.

SOLUCIÓN PUNTO “B” PRIMERA ENTREGA

IV.

Realizar la propuesta de un circuito de control con 3 entradas y 2 salidas teniendo en cuenta las siguientes instrucciones: -

Cuando CS y WE están activados, la salida E (escritura) debe activarse. Si WE está activado, la salida L (lectura) debe permanecer inactiva. Si CS y OE están activados, la salida L (Lectura) debe activarse.



Utilizando la herramienta logisim se realiza el circuito descrito anteriormente, teniendo en cuenta cada una de las instrucciones mencionadas anteriormente.

V.

SOLUCIÓN PUNTO “C” PRIMERA ENTREGA

. Un circuito conversor para un display de siete segmentos, que se utilizará para visualizar posteriormente el dato disponible en la memoria RAM El display de 7 segmentos se utiliza para visualizar los números que van desde el 0 hasta el 9 en un total de 10 dígitos y se visualizaran en una pantalla mediante leds.



Cuando CS y WE están activados, la salida E (escritura) debe activarse.

4

El circuito cuenta con 4 entradas que las hemos representado en 4 variables W, X, Y, Z en que cada uno contiene un numero binario que posteriormente será el dígito que vamos a encender en el display. Teniendo en cuenta lo anterior se construye la tabla de la verdad para el circuito como se muestra en la siguiente tabla: TABLA DE LA VERDAD ENTRADA SALIDA Binario en 4 segmentos del display Variables Decimal W X Y Z a b C d e f g 0 0 0 0 0 1 1 1 1 1 1 1 0 0 0 1 1 1 2 0 0 1 0 1 1 1 1 1 3 0 0 1 1 1 1 1 1 1 4 0 1 0 0 1 1 1 1 5 0 1 0 1 1 1 1 1 1 6 0 1 1 0 1 1 1 1 1 1 7 0 1 1 1 1 1 1 8 1 0 0 0 1 1 1 1 1 1 1 9 1 0 0 1 1 1 1 1 1

Expresión b: W’X’+X’Y’+W’X’Z’+W’YZ

Expresión c: W’Y’+X’Y’+W’Z+W’X

Sacamos las funciones simplificadas utilizando el método del mapa de Karnaugh:

Expresión d: W’XY’Z+X’Y’Z’+W’X’Y+W’YZ’

Expresión a: W’Y+X’Y’Z’+W’XZ+WX’Y’

5

Expresión e: X’Y’Z’+W’YZ’

Expresión f: X’Y’Z’+W’XY’+W’XZ’+WX’Y’

VI.

Expresión g: W’X’Y+W’XY’+WX’Y’+W’YZ’ Con las expresiones de cada segmento procedemos a construir el circuito en el simulador logisim

Bibliografía http://fecgb8.blogspot.com/p/practica-4.html https://www.electrontools.com/Home/WP/2016/03/09/display-7segmentos/ https://www.youtube.com/watch?v=GIhuLGEUe2M http://www.cburch.com/logisim/docs/2.1.0-es/libs/mem/ram.html