Memoria Automatismos

Automatismos Lógicos. Memoria del curso. Joaquín Sinclair De Jesús Bruno. A01065229. Introducción. La presente memoria

Views 114 Downloads 1 File size 246MB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

Automatismos Lógicos. Memoria del curso. Joaquín Sinclair De Jesús Bruno. A01065229.

Introducción. La presente memoria se presenta con el objetivo de recopilar y explicar detalladamente los conocimientos obtenidos en el curso de Automatismos Lógicos, mostrándolos de una forma concisa e interactiva, reforzando con ello el aprendizaje obtenido a lo largo del curso y dejando una evidencia que sera posible utilizar en un futuro.

1. Fundamentos teóricos de sistemas lógicos. --------------------------------------------------------------- 4. 1.1. Introducción a los sistemas lógicos ------------------------------------------------------------------ 5. 1.2. Sistemas Numéricos ---------------------------------------------------------------------------------- 7. 1.3. Funciones lógicas Básicas --------------------------------------------------------------------------- 17. 1.4. Algebra Booleana ------------------------------------------------- -----------------------------------22. 1.5. Minimización por Mapas de Karnaugh -------------------------------------------------------------27. 2. Circuitos combinatorios digitales ------------------------------------------------------------------------- 32. 2.1. Conceptos básicos de diseño de circuitos combinatorios con compuertas básicas ---------------33. 2.2. Diseño usando exclusivamente compuertas NAND. -----------------------------------------------37. 2.3. Circuitos combinatorios mediante dispositivos lógicos programables (PLD’s)--------------------38. 2.4. Decodificadores, Multiplexores --------------------------------------------------------------------- 46. 3 .Circuitos secuenciales digitales --------------------------------------------------------------------------- 48. 3.1. Conceptos básicos de circuitos secuenciales ------------------------------------------------------- 49. 3.2. Latches, Flip-Flop’s. ---------------------------------------------------------------------------------- 51. 3.3. Análisis de circuitos secuenciales -------------------------------------------------------------------- 60. 3.4. Síntesis de circuitos secuenciales síncronos --------------------------------------------------------- 62. 3.5. Circuitos secuenciales mediante PLD´s ------------------------------------------------------------- 64. 4. Lógica Industrial -------------------------------------------------------------------------------------------- 66. 4.1. Circuitos Lógicos Eléctricos -------------------------------------------------------------------------- 67. 4.2. Diagramas de escalera -------------------------------------------------------------------------------- 70. 4.3. El Controlador Lógico Programable (PLC) --------------------------------------------------------- 71 4.4. Estándar IEC 1131 para programación de PLC’s. --------------------------------------------------- 73. 4.5. Temporizadores y contadores, conjunto de instrucciones, funciones y bloques de datos--------- 75. 4.6. Técnicas de programación de PLC’s. ----------------------------------------------------------------- 77. 5. Conclusion. -------------------------------------------------------------------------------------------------- 83. 6. Referencias. -----------------------------------------------------------------------------------------------

Tema 1. Fundamentos teóricos de sistemas lógicos.



1.1 Introducción a los sistemas lógicos. Los sistemas lógicos se utilizan para controlar los diferentes procesos en las distintas áreas de la industria. Es necesario que un sistema reciba la señal, sepa que hacer con ella, esto es, realice una actividad determinada con cierta señal recibida.

Para esto, es necesario establecer una forma de comunicación entre el usuario y la computadora. Existen diferentes lenguajes, pero todos se traducen en un sistema de numeración, que es lo que la maquina puede comprender. Existen diferentes sistemas de numeración, los cuales tienen marcadas diferencias, pero que son útiles para determinadas acciones ente el usuario y el computador.

1.2 Sistemas numéricos.





Los sistemas numéricos son un conjunto de símbolos que el ser humano utiliza para cuantificar cosas, lo cual es muy útil al momento de manipular grandes cantidades de objetos. A lo largo de la historia se han creado múltiples sistemas de numeración, siempre respondiendo a la necesidad de ser mejor que el anterior. A continuación se describirán los sistemas de numeración mas importantes con sus respectivas características y diferencias.

Sistema de numeración decimal.



Valor posicional. Base: 10. Símbolos: 1, 2, 3, 4, 5, 6, 7, 8, 9, 0. Usos. Es el más usado en la vida cotidiana. Se utiliza para cuantificar todo tipo de cosas, además de ser usado en la mayoría de las ciencias exactas.

Sistema de numeración octal.

Valor posicional. Base: 8. Símbolos: 1, 2, 3, 4, 5, 6, 7, 0. Usos. Se utiliza generalmente en el área de informática, así como en las calculadoras.

Sistema de numeración Hexadecimal.



Valor posicional. Base: 16. Símbolos: 1, 2, 3, 4, 5, 6, 7, 8, 9, 0, A, B, C, D, E, F. Usos. Se utiliza en el área de la informática. Al tener un mayor número de símbolos tiene un mayor alcance.

Sistema de numeración binario. Valor posicional. Base: 2. Símbolos: 1, 0. Usos. Es de los más comunes en la informática, así como el más sencillo al solo tener dos símbolos.

Conversión de bases. Una de las operaciones más importantes, es el convertir un número de un sistema de numeración a otro. Por ejemplo, sería muy difícil para una persona común el hecho de escribir grandes cantidades en binario, ya que la posibilidad de equivocarse es muy grande. Es más fácil manipular una cantidad decimal que una binaria.

Para convertir un numero de cualquier sistema de numeración a decimal, debemos de tomar en cuenta ciertas cosas. Primero que nada, debemos de conocer la posición que tiene cada símbolo dentro de la cifra. Dicha posición comienza en 0 y se cuenta de izquierda a derecha. La base se elevara al número correspondiente con la posición de cada símbolo. Finalmente, se multiplicara por el símbolo, obteniendo la cantidad final y sumando los valores totales de cada uno.

Para convertir un número de base 10 a cualquier base N se siguen los siguientes pasos: 1. Separa la parte entera de la decimal 2. Dividir la parte entera entre la base y tomar los residuos hasta el cociente 0. 3. El primer residuo es el dígito menos significativo. 4. Multiplicar la parte decimal por la base y tomar la parte entera hasta obtener un número entero 5. El primer número entero es el dígito más significativo.

Otra de las conversiones más usadas es la de convertir números binarios a hexadecimales y octales por lo que es considerado importante el hacer un pequeño enfoque a la conversión rápida de estos.







1.3 Funciones lógicas básicas.

Las funciones lógicas son funciones matemáticas que, dependiendo del valor de sus entradas, ejercen cierto comportamiento en su salida. Los valores de entrada pueden ser 0 o 1 al igual que en la salida. Las funciones lógicas básicas son 3: AND, OR y NOT.

En esta imagen podemos observar el simbolo de cada una de las funciones, asi como su correspondiente tabla de verdad. El comportamiento de cada una de las salidas varia con respecto a las entradas. Cada una ademas tiene una expresion algebraica propia, NOT. Y=-A AND Y=A∙B OR Y=A+B

Es posible combinar las diferentes funciones lógicas para lograr un comportamiento determinado con respecto a las entradas. Tal comportamiento puede ser descrito en una tabla de verdad, en una función o en un diagrama.

Por ejemplo. De los siguientes diagramas, obtener sus respectivas funciones.



Ejemplo. Del siguiente diagrama obtener la función y la tabla de verdad.

1.4 Álgebra de boole.

Teoremas del álgebra booleana.

TEOREMA 1: El elemento complemento A’ es único. TEOREMA 2 (ELEMENTOS NULOS): Para cada elemento de B se verifica: A+1 = 1 A•0 = 0 TEOREMA 3: Cada elemento identidad es el complemento del otro. 0’=1 1’=0 TEOREMA 4 (DE IMPOTENCIA): Para cada elemento de B, se verifica: A+A=A A•A=A TEOREMA 5 (INVOLUCIÓN): Para cada elemento de B, se verifica: (A’)’ = A TEOREMA 6 (ABSORCIÓN): Para cada par de elementos de B, se verifica: A+A•B=A A•(A+B)=A TEOREMA 7: Para cada par de elementos de B, se verifica: A + A’•B = A + B A • (A’ + B) = A • B TEOREMA 8 (ASOCIATIVIDAD): Cada uno de los operadores binarios (+) y (•) cumple la propiedad asociativa: A+(B+C) = (A+B)+C A•(B•C) = (A•B)•C

LEYES DE DEMORGAN: Para cada par de elementos de B, se verifica: (A+B)’ = A’•B’ (A•B)’ = A’ + B’

Dentro del algebra de Boole encontramos dos formas de obtener las funciones de un circuito, las expresiones por miniterminos y por maxiterminos. • Minitérminos (SOP). Combinación de entradas que produce en la salida un valor verdadero. La función se expresa por medio de una suma de productos.

• Maxitérminos (POS). Combinación de entradas que producen en la salida un valor falso. La función se expresa como productos de sumas.

EJEMPLO: Por miniterminos, obtener una funcion para la siguiente tabla de verdad: C B A F(C,B,A) 0001 0010 0101 0111 1000 1010 1100 1111 F(C,B,A) = m0 + m2 + m3 +m7 = S m(0,2,3,7) F(C,B,A) = C’·B’·A’ + C’·B·A’ + C’·B·A + C·B·A

EJEMPLO:Por maxiterminos, obtener la funcion de la siguiente tabla de verdad. C B A F(C,B,A) 0001 0010 0101 0111 1000 1010 1100 1111 F(C,B,A) = M1 · M4 · M5 · M6 = P M(1,4,5,6) F(C,B,A) = (C+B+A’) · (C’+B+A) · (C’+B+A’) · (C’+B’+A)

1.5 Minimización por mapas de Karnaugh. La minimizacion por álgebra booleana puede ser muy efectiva, no obstante, no siempre nos arroja los resultados optimos. La minimizacion por mapas de Karnaugh en cambio, aplicada correctamente, es capaz de arrojar las expresiones reducidas a su mínima expresion, con lo que aseguramos el resultado mas optimo.

Un mapa K muestra al igual que la tabla de verdad, la relación entre las entradas y salidas usando notación tipo código Gray. El código gray es un código digital caracterizado por el cambio de un simple bit entre los códigos adyacentes en una secuencia. La manera en la que se acomodan las entradas y salidas con éste código se ve en la siguiente figura

Para la minimizacion siempre se buscan conjuntos de 1, 2, 4, 8 e incluso 16 (Dependiendo del numero de variables), los cuales van a representar una parte del la funcion reducida. Se recomienda buscar conjuntos lo mas grande posible, ya que entre mayor numero de variables tenga el conjunto, mas reducida quedara la funcion.

En algunas ocasiones habra valores cuyo valor no afecte la funcion o que podemos manipular su valor entre 0 y 1. Estos valores los llamamos “No importa” y en un mapa de Karnaugh se representan como una X.

A continuacion se muestra un ejemplo de minimizacion de una funcion de 4 variables.

Tema 2. Circuitos combinatorios digitales.

2.1 Conceptos básicos de diseño de circuitos combinatorios con compuertas básicas.

Como ya analizamos anteriormente, existen diferentes tipos de compuertas, cada una de las cuales tienen una funcion especifica. Estas son AND, OR y NOT. Existen otros tipos, los cuales tienen diferente comportamiento, y surgen de la mezcla de las anteriores, la compuerta NAND, NOR y XOR.

Compuerta NAND. Esta compuerta tiene el comportamiento de una AND negada, o una combinacion de AND con una NOT.



Compuerta

NOR. Esta compuerta tiene el comportamiento de una OR negada, o de una OR conectada a una NOT.

Compuerta XOR. Tambien es llamada OR exclusiva, se comporta como la suma de dos productos reciprocos.



2.2. Diseño usando exclusivamente compuertas NAND. La conversión de un circuito combinacional a uno que use solamente compuertas NAND se usa principalmente cuando un circuito va a ser implementado fisicamente, para reducir el numero de integrados que vamos a necesitar. Se utilizan ciertas reglas basadas en el álgebra de Boole, para poder transformar todas las compuertas.

2.3 Circuitos combinatorios mediante dispositivos lógicos programables (PLD’s). Un PLD (Programmable Logic Device) es un dispositivo logico que permite su manipulacion por medio de un lenguaje de programacion. Contiene un gran numero de compuertas, las cuales son conectadas electronicamente para lograr el comportamiento deseado. Los PLDs se subdividen a su vez en CPLD y SPLD.

SPLD. Simple programmable logic device. Se subdivide en PALs y GALs. PAL. Dispositivo programable que solo puede configurarse una vez. GAL. Dispositivo programable que puede configurarse varias veces.

CPLD. Complex Programmable Logic Device. Es un dispositivo que contiene varios SPLDs.

Circuitos logicos en GAL. Las GAL son dispositivos muy utiles y a la vez sencillos que nos permiten implementar circuitos de una complegidad media, dependiendo del numero de entradas y salidas que requiera nuestro programa. Las Gal se ponen en nombres como Gal16v8 el cual da algunas caracteristicas importantes. • Gal es el nombre generico para cualquier dispositivo. • 16 indica en numero maximo de entradas posibles. • El numero 8 indica el numero maximo de salidas. • La letra V indica que es configuracion de salida variable.

Las GAls se programan en lenguaje CUPL. En clase usamos el software WinCupl, en el cual se genera un programa fuente, el cual consta de tres partes fundamentales: • Encabezado. Contiene detalles de de la documentación e información que el compilador puede usar para el programa. • Definición de entradas y salidas. Se asignan los nombres y pines a las señales de entrada y salida. Descripción. El es cuerpo del programa, donde se escribe el diseño de la funcion.

Se utilizan diferentes simbolos para simbolizar las funciones AND, OR y NOT. AND se simboliza con &. OR se simboliza con #. NOT se simboliza con !. Una parte importante de Wincupl es que te permite simular las funciones y obtener diagramas de tiempo. Dichos diagramas permiten observar de forma detallada el comportamiento que tendra nuestro circuito, y comprobar su funcioamiento.

EN el siguiente vídeo se muestra paso a paso como crear un circuito combinacional y su simulación.

¿Cómo insertar tablas de verdad? Para esta función se definen primero las entradas y las salidas que se usarán, para esto, se usó la función FIELD y de esta manera hacer más entendible el código. Se utilizó el FIELD llamado ENT para definir las entradas u, v, w y x; y el FIELD llamado SAL para definir las salidas a, b, c, d, e, f y g. La función es TABLE y primero es necesario indicar las entradas y salidas. Después de cada combinación de entrada=> salida se coloca un punto y coma (;) para poder indicar la siguiente combinación. Una vez terminada la tabla de verdad se cierra el corchete. Programación de un convertidor de BCD a 7 segmentos:

¿Cómo realizar una misma función varias veces? Para esto se usa la función REPEAT y se declara un contador (en nuestro caso i) que abarca en su rango todos los bits de cada uno de los bites de entrada y salida (esto se indica entre corchetes), posteriormente se indica la función lógica con el nombre de la entrada con su respectivo contador y esto se iguala a la salida con que igualmente de marca con su contador. Una vez terminada la operación que se requiere se marca el fin de la función con $REPEND



2.4 Decodificadores, Multiplexores Un decodificador funciona seleccionando determinados valores para las salidas, dependiendo de los valores de entrada. Puede ser una o varias salidas. Los decodificadores pueden ser bastante útiles, ya que sirven para convertir varias señales en una sola o convertir pocas en varias señales de salida (Convertidor BCD a 7 segmentos).

Un multiplexor funciona de la siguiente forma, toma una decisión entre distintas opciones, sin modificar el contenido de entrada, dependiendo de los valores que se introduzcan en las variables de control. Un multiplexor contiene 2n líneas de entradas de datos (D), 1 línea de salida y n entradas de selección (s). Las entradas de control indican cuál de estas líneas de entrada de datos es la que proporciona el valor a la línea de salida.

3. Circuitos secuenciales Digitales



3.1 Conceptos básicos de circuitos secuenciales. Un circuito secuencial es un circuito en el cual las señales de salida no dependen solamente de las señales de entrada, sino que también dependen del estado anterior que tomo la salida. Un circuito secuencial tiene varias partes, entre las que destaca el dispositivo de memoria y la señal de reloj.

Generalmente definimos los circuitos secuenciales de acuerdo a si es dominado por una señal de reloj, o clk en Sincronos y Asíncronos. • Asíncronos, que varían cuando una de las entradas es modificada. • Síncronos, que varían cuando una señal de reloj lo indica.

3.2. Latches, Flip-Flop’s. Dentro de un circuito secuencial existe una parte fundamental que es el dispositivo de memoria. Este tiene la función de almacenar un valor temporalmente que posteriormente sera sustituido por el siguiente valor que tomara la salida del circuito combinacional. Dentro de los mismos existen dos tipos, los Latchs y los Flip-Flop, los cuales tienen funcionamiento distinto, pero cumplen con el mismo objetivo.

LATCH. Los “latches” son un tipo de dispositivos asincrónicos de almacenamiento temporal que tienen dos estados estables. Se clasifican en dos tipos, cada uno con un comportamiento distinto: • S-R(Set-Reset) Latch. • Latch D

Existen dos tipos de Latches S-R, con entrada activa en alto, el cual esta compuesta por dos compuertas NOR retroalimentadas, y el latch con entrada activa en bajo, el cual se compone de dos compuertas NAND retroalimentadas.

Las entradas marcadas con R y S, son reset y set respectivamente, donde si set es 1 pone la salida a uno, o si reset es 1 pone la salida en 0; en el caso de que las dos entradas sean 0, las salidas permanecen constantes, sin embargo las dos entradas no pueden marcar 1 simultáneamente, por lo tanto son entradas no permitidas.

Latch D. Por otro lado los latches tipo D tratan de evitar el estado indefinido en el S-R negando la única entrada D (data) siendo controlado con las señales de los flancos en alto y bajo del clk. En la siguiente imagen se muestra el diagrama de un Latch D.

Flip-Flops.





Es un dispositivo de almacenamiento con dos estados estables parecido al Latch, la diferencia radica en que este es sincronizado, lo que quiere decir que las salidas cambian solamente en un punto específico de la entrada llamada CLK, la cual designamos como una entrada de control. Existen varios tipos de Flip-Flops, el D, J-K, S-R y T.

Flip-Flop D. El Flip-Flop D es un dispositivo de una sola entrada. Su comportamiento se basa en almacenar un valor diferente cada que un flanco del reloj se dispara (Alto o bajo).

Flip-Flop

S-R.

El Flip-Flop S-R funciona de forma casi identica al Latch S-R, con la diferencia de que el flip-flop se dispara con la señal del flanco de bajada o subida. La tabla de verdad tiene un comportamiento parecido.

Flip-Flop J-K.

El Flip-Flop J-K tiene un comportamiento similar al del S-R, con la diferencia de que no tiene un estado indefinido al estar los dos estados en alto.

3.3 Análisis de Circuitos secuenciales

Un circuito secuencial se comienza creando una maquina de estado finito. Se necesita primero que nada un circuito combinacional que generara los diferentes estados que tomara el circuito secuencial. A continuación se necesita un dispositivo de memoria, que sera el encargado de almacenar los distintos estados y retroalimentar al circuito combinacional. En tercer lugar se necesita un segundo circuito combinacional, que ayudara a interpretar las señales de salida de los dipositivos de memoria. Este ultimo circuito no siempre es necesario, ya que a veces es posible interpretar las salidas de la memoria directamente. Existen dos tipos de maquinas, la maquina de Moore y la maquina de Mealy.



3.4 Síntesis de circuitos secuenciales síncronos. Para la creacion de un circuito secuencial sincrono se deben seguir los siguientes pasos:

1. Establecer el número de estados de la máquina, y con ello obtener el número de circuitos de memoria. 2. Realizar un diagrama de estados. Diagrama en el que se marcan las entradas y salidas con estados siguientes. 3. Hacer una tabla de excitación (Variables de control si existen, entradas, estado actual, estado siguiente, estado del fip-flop y salidas) 4. Diseñar los circuitos combinacionales. 5. Diseño final

En el siguiente video se muestra de manera detallada como hacer un circuito secuencial.

3.5 Circuitos Secuenciales Mediante PLD’s. Muchas veces es mas simple realizar un circuito secuencial con la ayuda de dispositivos lógicos programables, ya que al ser mas compactos y tener una gran capacidad, son mucho mas óptimos para la correcta realización del circuito. Existe la opcion de usar un PLD para realizar el circuito combinacional que requiere nuestro diseño y seguir usando flip-flops, o diseñar completamente el circuito secuencial en el PLD.

Circuito secuencial en WinCupl. Una GAL tiene un pin habilitado para poder conectar una señal de reloj, gracias al cual es posible realizar un circuito secuencial con uno de estos dispositivos . Se utiliza la función SECUENCE, que actúa en conjunto con las funciones PRESENT, NEXT y OR. También es posible usar una función condicional (IF) en caso de ser necesario. Por ejemplo:

4. Lógica Industrial.

4.1 Circuitos lógicos eléctricos. En la industria, donde se controlan una gran cantidad de maquinas para realizar infinidad de procesos, es necesario utilizar dispositivos mucho mas potentes y con mayor capacidad que un simple PLD. Es entonces donde implementamos los PLC.

PLC. Sistema electrónico de tipo digital, principalmente, usado en la industria el cual almacena instrucciones, las cuales pueden generar funciones lógicas, temporizadores, contadores y/o operaciones, para realizar control.

Ventajas del uso de PLC. • • • • • • •

Aplicación específica a la industria (red ASI) Ser un dispositivo modular La programación es simple y ordenada Es fácil la comunicación con otros PLD o PCs Es reprogramable Facilidad de instalación y mantenimiento Solución de problemas con facilidad

4.2 Diagramas de escalera.

Los diagramas de escalera son parte de un lenguaje de programacion llamado “Ladder” Es usado para programar los PLCs debido a su estructura muy simple y a la facilidad de relacionar unos elementos con otros. Utiliza tres componentes basicos para programar: Bobinas, interruptores y bloques.

4.3 El Controlador Lógico Programable. La arquitectura básica de un PLC es bastante sencilla, se compone de puertos de entrada y de salida, CPU, memoria y fuente.

Caracteristicas del PLC S7-200 CPU-222. • • • • • • • •

Lenguaje: Step 7 Entradas: 8 Digitales Salidas: 6 Digitales 1 entrada analógica por medio de un potenciómetro. 3 LED’s indicadores (Run, Stop, Term) 2 Palabras (1 In, 1 Out) Realiza 1 instrucción en 1.25 µs 1 puerto en el que se conectan módulos y se bajan programas.

4.4 Estándar IEC 1131 para programación de PLC’s. El IEC, son las siglas de “International Electric Commission” y en la institución encargada de regular las normar de los dispositivos usados en la industria. Estos son los lenguajes para programar un PLC.



En la programación son usadas diferentes nomenclaturas para llamar a lugares de la memoria, según los diseñadores. En SIEMENS, la nomenclatura es la siguiente:

4.5 Temporizadores y contadores, conjunto de instrucciones, funciones y bloques de datos. Temporizador. Un bloque existente en la programacion del PLC es el temporizador TON, el cual, con una señal de entrada en alto comienza una cuenta de tiempo. El temporizador TOF funciona de forma similar, solo que la secuencia de tiempo comienza cuando la señal de entrada esta en bajo. A continuacion se muestra el diagrama de un TOF.

Contadores. Un elemento muy importante en la industria es un contador. En el lenguaje de programacion del PLC existen tres tipos de contadores: El CTU, el CTD y el CTUD. El CTU funciona con una cuenta ascendente cada vez que se recibe una señal en el bloque. El CTD funciona a la inversa, osea, de un valor determinado va restando de uno en uno cada vez que se recibe una señal. El CUTD tiene la peculariedad de poder aumentar y disminuir la cuenta, por lo que cuenta con dos puertos de entrada.

4.6 Técnicas de programación de PLC’s. Al realizar un programa en PLC, debemos, primero que nada, comprender completamente los requerimientos que debe de cumplir. Una vez comprendido el problema, debemos de determinar el numero de entradas y salidas que nuestro sistema va a tener. Posteriormente, podemos realizar un boceto en papel de nuestro programa, para en base a este poder programar en nuestro software. Una vez programado, es una buena idea simular nuestro programa, para verificar su correcto funcionamiento.

Problema. Realizar el controlar para el funcionamiento de un horno de microondas el cual cuenta con funciones de inicio, paro y apertura de puerta. Considere un tiempo fijo para calentar alimentos, que al momento de abrirse la puerta del horno se restablezca el tiempo y, que los interruptores de inicio y paro son de tipo push-botton. El sistema debe contar con lámparas indicadoras.

Programa diseñado.

En un principio se encuentra apagado el microondas (q0.0), y encendido la luz de paro(q0.2)

Ahora al presionar el botón de encendido (I0.0) se enciende el motor del microondas (Q0.0).

Conclusión. El curso de Automatismos Lógicos es uno de los de mayor importancia en la carrera de cualquier ingeniero en mecatrónica, ya que nos brinda un sinnúmero de herramientas que podemos utilizar para poder resolver una cuestion de la vida diaria. Comenzando con lo mas simple y terminando con lo mas complejo este curso nos enseño que existen dispositivos de todos tipos, los cuales se adaptan a las necesidades que requerimos, así como información sobre su uso en conjunto con otros dispositivos. En mi opinión, es una de las materias fundamentales en nuestra formación, gracias a la gran cantidad de contenido, asi como a la calidad del mismo.

Referencias. • Floyd, Thomas L. “Fundamentos de sistemas digitales”, 7ª edición, Prentice Hall, 2005. • Boylestad, Tobert L; Nashelsky, Louis. “Electrónica: Teoría de circuitos y dispositivos electrónicos”, 8ª edición, Prentice Hall, 2003. • Hayt Jr, William H. “Análisis de circuitos en ingeniería” 7ª edición, McGraw Hill, 2007.