Flip Flops Verilog Codes

D Flip Flop module dflipflop(D,Q,clk,clr); output Q; reg Q; input D; input clk,clr; always @(posedge clk) begin if(clr)

Views 145 Downloads 8 File size 49KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

D Flip Flop module dflipflop(D,Q,clk,clr); output Q; reg Q; input D; input clk,clr; always @(posedge clk) begin if(clr) Q