VHDL Program

the VHDL code for 2-4 decoder. ibrary ieee; use ieee.std_logic_1164.all; entity decoder_2_4 is port( a: in std_logic_vec

Views 102 Downloads 2 File size 98KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

the VHDL code for 2-4 decoder. ibrary ieee; use ieee.std_logic_1164.all; entity decoder_2_4 is port( a: in std_logic_vector(1 downto 0); en: in std_logic; y: out std_logic_vector(3 downto 0) ); end decoder_2_4; architecture arch of decoder_2_4 is begin y