INFORME_circuitos Con Flip Flops

Circuitos digitales Laboratorio 8 “Circuitos con Flip Flops” INFORME Alumno: Hidalgo Román, Jhan Carlos Yachas Tadeo J

Views 83 Downloads 2 File size 2MB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

  • Author / Uploaded
  • frank
Citation preview

Circuitos digitales Laboratorio 8

“Circuitos con Flip Flops” INFORME

Alumno: Hidalgo Román, Jhan Carlos Yachas Tadeo Jerry Paul MESA 3 Profesor(a): Ciriaco Martínez, Cesar Augusto

Sección: C5-D Fecha de realización: 28/09/16 Fecha de entrega: 05/10/16 2016 – II

1

Contenido 1. INTRODUCCIÓN ....................................................................................................................................................... 2 2.

FUNDAMENTO TEÓRICO ..................................................................................................................................... 3

3. OBEJETIVO ............................................................................................................................................................... 4 4.

RESULTADOS DEL LABORATORIO ........................................................................................................................ 5 4.1. Actividad: Registro de desplazamiento ............................................................................................................ 5 4.1.1. Secuencia de realización ........................................................................................................................... 5 4.1.2. Cuestionario: ............................................................................................................................................. 9 4.2.

Actividad: Registro de desplazamiento con acceso paralelo .................................................................... 10

4.2.1. Secuencia de realización ......................................................................................................................... 10 4.2.2. Comentarios del funcionamiento del circuito ......................................................................................... 11 4.2.3. Cuestionario: ........................................................................................................................................... 13 4.3.

Actividad: Contador en anillo .................................................................................................................... 14

4.3.1. Secuencia de realización ......................................................................................................................... 14 4.3.2. Cuestionario: ........................................................................................................................................... 17 4.4.

Actividad: Contador binario Asíncrono ..................................................................................................... 21

4.4.1. Secuencia de realización: ........................................................................................................................ 21 4.5.

Actividad: Contador binario Asíncrono ..................................................................................................... 24

4.5.1.

Simulación: ........................................................................................................................................ 24

5.

OBSERVACIONES ............................................................................................................................................... 27

6.

CONCLUSIONES ................................................................................................................................................. 27

7.

APLICACIÓN ....................................................................................................................................................... 28

8.

BIBLIOGRAFÍA .................................................................................................................................................... 30

Circuitos digitales

2

1. INTRODUCCIÓN En este informe de laboratorio se darán los resultados obtenidos durante el desarrollo de cada una de las actividades. Durante el laboratorio se realizó la implementación de circuitos con flop flops para verificar el funcionamiento de estos, para ello fue necesario estudiar los concepto teóricos de estos tipos de circuitos, a fin de poder definir los objetivos planteados para este laboratorio.

Circuitos digitales

3

2. FUNDAMENTO TEÓRICO Señales de Reloj (CLOCK) y FF controlados por Reloj Hasta ahora hemos visto que un Registro Básico tiene dos variables de entrada y responde de manera predecible a ellas, pero ¿Qué podíamos hacer si necesitáramos otra variable de control? ¿Cómo podríamos hacer que el registro actúe cuando sea conveniente para nosotros, y no al momento de cambiar sus entradas? Todos los sistemas digitales tienen básicamente dos formas de operación:  Operación en modo ASÍNCRONO.  En este modo, las salidas cambian de manera automática siguiendo las órdenes de las entradas.  Operación en modo SÍNCRONO.  En este modo, las salidas cambian siguiendo las órdenes de las entradas, pero sólo cuando una señal de control, llamada RELOJ (CLOCK, CLK, CP) es aplicada al registro. Los circuitos digitales ASÍNCRONOS son muy complicados en lo que a diseño y reparación se refiere, ya que, al encontrarnos con una falla en un circuito de 10 registros interconectados, el rastreo de los cambios en todas las compuertas nos provocaría un severo dolor de cabeza. Los circuitos digitales SÍNCRONOS son más fáciles de diseñar y reparar, debido a que los cambios de las salidas son eventos "esperados" (ya que fácilmente podemos saber el estado de cada una de las entradas o salidas sin que estas cambien repentinamente), y los cambios dependen del control de una sola señal aplicada a todos los registros, la señal de RELOJ. La señal de reloj es una onda cuadrada o rectangular, los registros que funcionan con esta señal, sólo pueden cambiar cuando la señal de reloj hace una transición, También llamados "flancos", por lo tanto, la señal de reloj sólo puede hacer 2 transiciones (o Flancos):  La Transición con pendiente positiva (TPP) o Flanco positivo (FP). Es cuando la señal de reloj cambia del estado BAJO al estado ALTO.  La Transición con pendiente negativa (TPN) o Flanco Negativo (FN). Es cuando la señal de reloj cambia del estado ALTO al estado BAJO. Principales características de los FF sincronizados por Reloj.  Todos los FF cuentan con una entrada con el rótulo (RELOJ, CLOCK, CLK, CP) y un distintivo círculo para saber como debe ser la señal activa. Los que no tienen círculo, son sincronizados por una TPP, los que cuentan con un círculo son sincronizados por una TPN.  Todos los FF cuentan con entradas de control, que determinan el cambio que van a tener las salidas, al igual que en los Registros básicos, pero estas entradas no pueden modificar las salidas arbitrariamente, sólo podrán hacerlo cuando el FF reciba su transición activa. Resumiendo, Las entradas de control del FF nos permiten saber cómo van a cambiar las salidas, pero sólo la señal de Reloj podrá hacer efectivo este cambio. Fuente: http://www.electronica.com.es/content/31-flip-flop

Circuitos digitales

4

3. OBEJETIVO



Aprender el funcionamiento de las entradas asíncronas y síncronas para el control del flipflop.



Aprender a usar los flip-flops en circuitos secuenciales.



Adquirir habilidad en el uso de flip-flops y en la determinación de digramas de tiempo.

Circuitos digitales

5

4. RESULTADOS DEL LABORATORIO 4.1. Actividad: Registro de desplazamiento 4.1.1. Secuencia de realización Primero con la ayuda del programa Multisim se va a implementar el circuito de la figura que consta de tres Interactive Digital Constant (U1, U2 y U4), un clock (U3), cuatro flip flops de código 74LS76D (U6A, U6B, U7A y U7B), una compuerta lógica NOT de código 74LS04D (U5A), un osciloscopio (XSC1) y cinco probes de color verde (X1, X2, X3, X4 y X5).

Figura N°1. Implementación del circuito de desplazamiento

Figura N°2. Cuando el 1J del primer flip flop este en nivel bajo el 1Q del mismo también lo estará

Circuitos digitales

6

Figura N°3. Al final todos los probes estarán apagados

Después al estar todos los probes apagados (como se muestra en la figura N°3) se mantiene ahora la entrada (U2) en nivel alto y estos se irán encendiendo uno por uno dependiendo de la frecuencia del clock (U3). Esto ocurre porque cuando el “1J” del primer flip flop se encuentra en estado alto su “1Q” también lo estará; es decir, se encuentra en estado SET (como se muestra en la figura N°4) pero este “1Q” también es el “2J” del segundo flip flop por lo cual este también estará en nivel alto al igual que su “2Q”. La situación descrita anteriormente se sigue repitiendo hasta que todos los probes quedan encendidos como se muestra en la figura N°5.

Figura N°4. Cuando el 1J del primer flip flop este en nivel alto el 1Q del mismo también lo estará

Circuitos digitales

7

Figura N°5. Al final todos los probes estarán encendidos

Figura N°6. Flancos de bajada para que todas las salidas sean iguales a la entrada

Circuitos digitales

8 Resumiendo el funcionamiento del circuito siguiendo la secuencia del laboratorio se tiene: X4

X2

X1 2.5 V

X3 2.5 V

2.5 V

2.5 V X5

U5

U1

1

U2

SET

Key = Space

SET

J

U9A

Q

J

~Q

K

CLK

Q

J

~Q

K

RESET

SET Q

J

~Q

K

CLK

RESET

Q

CLK ~Q

RESET

JK_FF

2.5 V

U4

SET

CLK

K

7404N

U3

RESET

JK_FF

JK_FF

JK_FF

U6 20 Hz

En esta figura se observa que según el sentido de la flecha, el nivel H se desplaza en cada indicador del flip flop de forma automática según el simulador, ya que varía según la frecuencia del clock.

U7 0

Key = Space

Figura N°7 X4

X2

X1 2.5 V

X3 2.5 V

2.5 V

2.5 V X5

U5

U1

1

U2

SET

Key = Space

J

U9A

Q

Q

K

~Q

Q

J

CLK

K

~Q

RESET

Q

CLK

K

~Q

RESET

K

~Q

RESET

JK_FF

En esta figura se observa que solo el indicador de la entrada esta en H, ya que esta no está invertida, se pulsó RESET, con lo cual fuerza las salidas a estado bajo.

SET

J

CLK

2.5 V

U4

SET

J

CLK

7404N

U3

SET

RESET

JK_FF

JK_FF

JK_FF

U6 20 Hz

U7 1

Key = Space

Figura N°8 X4

X2

X1 2.5 V

X3 2.5 V

2.5 V

2.5 V X5

U5

U1

0

U2

SET

Key = Space

J

U9A

Q

J

~Q

K

CLK

Q

J

~Q

K

RESET

SET Q

J

~Q

K

CLK

RESET

JK_FF

2.5 V

U4

SET

CLK

K

7404N

U3

SET

Q

En esta figura se observa que los indicadores pasan a estado L (debido a las pulsaciones del clock), previamente se tenía los indicadores en H antes de que la entrada pase a L.

CLK

RESET

JK_FF

~Q RESET

JK_FF

JK_FF

U6 20 Hz

U7 0

Key = Space

Figura N°9 X4

X2

X1 2.5 V

X3 2.5 V

2.5 V

En esta figura se observa que todos los indicadores están en L debido a la entrada en L

2.5 V X5

U5

U1

0

U2

SET

Key = Space

J

U9A

J

~Q

K

CLK K

7404N

U3

SET Q

J

~Q

K

CLK

RESET

JK_FF

20 Hz

U7 1

Key = Space

Figura N°10

Circuitos digitales

SET Q

J

~Q

K

CLK

RESET

U6

U4

SET Q

CLK

RESET

JK_FF

Q

~Q RESET

JK_FF

JK_FF

2.5 V

9

4.1.2. Cuestionario: 

¿ Qué permite realizar este circuito?

Este primer circuito nos permite realizar un almacenamiento de datos, ya que con cada pulsación de reloj, el primer dato que ingresa( en este caso 1 lógico al primer flip flop) permnece sin que cambie de estado y a su vez se desplaza al siguiente flip flop. En el caso de cambiar la entrada de 1 a 0, en la entrada J ingresa el 0, mientras que en el K ingresa la nagada de J y siguiendo la secuencia de su circuito interno, nos percatamos que el estado bajo del primer flip flop se desplaza hasta el último llegando así a tener un 0 lógico en todas las salidas sin que este cambie a pesar de que el reset cambie de estado. 

Aplicando una señal RESET al circuito ¿ cuántos estados de reloj se necesitan para que en todas las salidas dispongan uno de los datos de la señal de entrada?

Para poder determinar el número de estados de reloj, se puede observar a simple vista que cada pulsación del reloj es un flanco de subida y este flanco es el que nos permite cambiar de estado a las salidas de cada flip flop, el cambio en el flanco de subida es de 0 a 1. Para corroborar esta respuesta, se procedió a visualizar las señales de salida para el reloj y las salidas del flip flop, las cuales se muestran a continuación: Prinero se llevaron a las mismas escalas de voltaje y tiempo ´para poder comparar y determinar el número de flancos de reloj por cada salida.

FiguraN°11 de elaboración propia, señales de flip flop y reloj

Figura N°12 de elaboración propia

En esta imagen se observa la señal de reloj de color verde, el cual da 4 flancos de subida (contando el primer flanco) hasta que todas las salidas del flip flop se queden en estado alto siempre y cuando no modifiquemos el estado bajo del reset y no cambiemos el estado alto de la entrada al JK.

Circuitos digitales

10

4.2. Actividad: Registro de desplazamiento con acceso paralelo 4.2.1. Secuencia de realización Primero con la ayuda del programa Multisim se va a implementar el circuito de la figura que consta de ocho Interactive Digital Constant (U1, U2, U4, U24, U25, U26, U27 y U28), un clock (U3), cuatro flip flops de código 74LS76D (U6A, U6B, U7A y U7B), cinco compuertas lógicas NOT de códigos 74LS04D (U5A, U8A, U9A, U10A y U11A), cuatro compuertas lógicas AND de códigos 74LS08D (U12A, U13A, U14A y U15A), ocho compuertas lógicas NAND de códigos 74LS00D (U16A, U17A, U18A, U19A, U20A, U21A, U22A y U23A) y cuatro probes de color verde (X1, X2, X3 y X4).

Figura N°13. Implementación del circuito de desplazamiento con acceso paralelo

Circuitos digitales

11

4.2.2. Comentarios del funcionamiento del circuito A continuación se explicará el funcionamiento del circuito. U27 X1

X2

X3

X4

20 Hz 2.5 V

2.5 V

U1

U2

SET J

2.5 V

U3

SET Q

J

~Q

K

CLK

RESET

U4

SET Q

J

~Q

K

CLK

K

2.5 V

SET Q

J

~Q

K

CLK

RESET

JK_FF

Q

CLK

RESET

~Q RESET

JK_FF

JK_FF

JK_FF

Reset 0

S

0

CM

0

U24 0

U25

U29

U28

0

Key = Space

1

1

Key = Space

Key = Space

Key = Space

Figura N°14

En esta imagen se observa que mientras CM este en 0 no importa el estado de la entrada S para activar en 1 los indicadores, sino que estos dependerán de las entradas A, B, C y D. Esto sólo se cumple cuando reset este inactivo en estado 0. U27 X1

X2

X3

X4

20 Hz 2.5 V

2.5 V

U1

U2

SET J

J

~Q

K

J

~Q

K

S CM

SET Q

J

~Q

K

CLK

RESET

JK_FF

Reset

U4

SET Q

CLK

RESET

2.5 V

U3

SET Q

CLK K

2.5 V

CLK

RESET

JK_FF

Q

~Q RESET

JK_FF

JK_FF

0

1

1

U24 0

Key = Space

U25

U28

0

1

Key = Space

Key = Space

U29 1

Key = Space

Figura N°15 En esta imagen se observa que mientras CM este en 1, los indicadores se activaran en 1 en sentido de la flecha y según las pulsaciones del reloj, siempre y cuando S pase de 0 a 1 y el reset este inactivo.

Circuitos digitales

12

U27 X1

X2

X3

X4

20 Hz 2.5 V

2.5 V

U1

U2

SET Q

J

~Q

K

CLK

RESET

U4

SET Q

J

~Q

K

CLK

K

2.5 V

U3

SET

J

SET Q

J

~Q

K

CLK

RESET

JK_FF

Reset

2.5 V

RESET

JK_FF

Q

CLK ~Q RESET

JK_FF

JK_FF

0

0

S 1

CM U24 0

Key = Space

U25

U28

0

1

Key = Space

U29 1

Key = Space

Key = Space

Figura N°16

Se observa que cuando S pasa de 1a 0 mientras CM este en 1, los indicadores pasan de 1 a 0, según las pulsaciones del reloj, el cambio de estas salidas se da en forma de desplazamiento tal como vimos en el primer circuito.

Entradas de control

Salida

Reset

S

CM

X1

X2

X3

X4

0

0

0

D1

D2

D3

D4

0

0

1

0

D1

D2

D3

0

1

0

D1

D2

D3

D4

0

1

1

1

D1

D2

D3

1

0

0

0

0

0

0

1

0

1

0

0

0

0

1

1

0

0

0

0

0

1

1

1

0

0

0

0

Tabla N°1. Tabla de verdad del registro de desplazamiento con acceso paralelo

Circuitos digitales

13

4.2.3. Cuestionario: - Tras ver el funcionamiento del circuito ¿Qué conclusiones se extraen?  Con la tabla de verdad claramente se puede observar que el reset fuerza automáticamente y simultáneamente con todas las salidas a obtener un 0 lógico.  Mientras CM este inactivo en 0, no se dará el registro de desplazamiento según las pulsaciones de reloj, sino que las salidas dependerán (independientemente del estado de S) de las entradas de datos D1, D2, D3, D4; a la que denominamos acceso en paralelo.  Con el CM activo en estado 1, el desplazamiento de datos depende de S, para S=0 se desplaza el estado 0, para S=1 se desplaza el estado 1.  Si mantenemos fijo el CM activo y solo cambiamos de estado S, entonces el desplazamiento de las salidas se da, tomando la salida de mayor prioridad el valor de la menor prioridad. Ejemplo: si se tiene: 0101, el siguiente estado será 0010, 0001,0000; hasta que finalmente obtenemos todas las salidas en 0 permanente. Pero si las salidas son: 0001, el reloj sólo tardará una pulsación para tener todas en 0 (viceversa si se quiere desplazar de 0 a 1).

Circuitos digitales

14

4.3. Actividad: Contador en anillo 4.3.1. Secuencia de realización 1. Primero con la ayuda del programa Multisim se va a implementar el circuito de la figura que consta de dos Interactive Digital Constant (U1 y U4), un clock (U3), cuatro flip flops de código 74LS76D (U6A, U6B, U7A y U7B), un osciloscopio (XSC1) y cuatro probes de color verde (X1, X2, X3 y X4).

Figura N°17. Implementación del contador en anillo

X1

X2 2.5 V

2.5 V

U1 J

Q

K

J

~Q

Q

K

J

U27 20 Hz U6 0

Key = Space

Figura N°18

SET Q

J

CLK ~Q

K

RESET

JK_FF

U4

SET

CLK

RESET

Circuitos digitales

U3

SET

CLK

2.5 V

2.5 V

U2

SET

X4

X3

~Q

K

RESET

JK_FF

Q

CLK ~Q RESET

JK_FF

JK_FF

15 Los indicadores se activan a 1 mientras no se active reset y según las pulsaciones del reloj.

X1

X2 2.5 V

2.5 V

U1

U3

SET Q

J

CLK ~Q

Q

K

RESET

J

SET Q

J

CLK ~Q

K

RESET

JK_FF

U4

SET

CLK

K

2.5 V

2.5 V

U2

SET J

X4

X3

~Q

K

RESET

JK_FF

Q

CLK ~Q RESET

JK_FF

JK_FF

U27 20 Hz U6 0

Key = Space

Figura N°19 Los indicadores pasan a 0 una vez todos hayan estado en 1, en el mismo sentido en que pasaron a 1 y según las pulsaciones del reloj.

2. Tabla de verdad: Pulso

X2

X3

X4

X5

1 2 3 4 5

0 0 0 0 1

1 0 0 0 0

1 1 0 0 0

1 1 1 0 0

6 7 8

1 1 1

1 1 1

0 1 1

0 0 1

Tabla N°2. Tabla de verdad del contador en anillo

Circuitos digitales

16

Segunda Parte: Secuencia de realización: 1. Primero con la ayuda del programa Multisim se va a implementar el circuito de la figura que consta de dos Interactive Digital Constant (U1 y U4), un clock (U3), cuatro flip flops de código 74LS76D (U6A, U6B, U7A y U7B), una compuerta lógica NOT de código 74LS04D (U2A), una compuerta lógica NAND de tres entradas de código 74LS10D (U5A) y cuatro probes de color verde (X2, X3, X4 y X5).

Figura N°20. Implementación del contador en anillo

Se nota en la figura N°16 que el clock (U3) va conectado a todos los flip flops y también que el PRESET y el CLEAR están en nivel alto. Luego se nota que todos los probes están encendidos como se muestra en la figura N°16 y como los probes X2, X3 y X4 se encuentran en estado alto entonces la salida de la compuerta NAND será “0” por lo cual el primer flip flop (U6A) estará en estado bajo y el probe X2 se apagará. A continuación como la salida “1Q” del primer flip flop se conecta a la entrada “2J” del segundo flip flop (U6B) entonces ahora el segundo flip flop estará en estado bajo con lo cual el probe X3 se apagará pero al mismo tiempo el estado bajo del probe X2 hace que la salida de la compuerta NAND se vuelva “1” y el probe X2 regresa a un estado alto como se muestra en la figura N°17.

Circuitos digitales

17

Figura N°21. El probe X3 en estado bajo

2. Tabla de verdad: Pulso

X2

X3

X4

X5

1

0

1

1

1

2

1

0

1

1

3

1

1

0

1

4

1

1

1

0

Tabla N°4. Tabla de verdad del contador en anillo

4.3.2. Cuestionario: ¿Por cuánto divide el circuito de la figura N°17? Para determinar las divisiones de reloj con respecto al cambio de estados en la salida, se simuló con osciloscopio en las mismas escalas de tiempo.

Circuitos digitales

18 Se procedió a medir el tiempo con una diferencia entre la recta 1 y 2

Señal de las salidas

Para el primer flanco de subida de la salida X2, se observa que el tiempo de pulso del reloj es el mismo

Circuitos digitales

19 Imagen de las señales de salida

Imagen de la señal de reloj

Se puede observar que para la salida X4 es igual la relación de tiempo entre reloj y salida, con lo que concluimos en que el tiempo de desplazamiento para el cambio de estado es el mismo para cada salida; mientras que para que todos lleguen al mismo estado el tiempo de la salida siguiente es una pulsación anterior de reloj.

Circuitos digitales

20

Podemos concluir que la relación que divide las pulsaciones es: Reloj 8 pulsos

en la salida 1 pulso o cambio de estado.

¿Qué ventaja tiene el circuito de la figura N°20? La ventaja es que el registro de desplazamiento traslada de 1 a 0 y de o a 1 de forma automática.

Circuitos digitales

21

4.4. Actividad: Contador binario Asíncrono 4.4.1. Secuencia de realización: Primero con la ayuda del programa Multisim se va a implementar el circuito de la figura que consta de tres Interactive Digital Constant (U1, U2 y U4), un clock (U3), cuatro flip flops de código 74LS76D (U6A, U6B, U7A y U7B), un osciloscopio (XSC1), una compuerta lógica NOT de código 74LS04D (U5A) y cuatro probes de color verde (X1, X2, X3 y X4). Todos los PRESET se conectarán en estado alto y los CLEAR se conectarán a un estado bajo ya que hay una compuerta NOT, también se conectarán todas las entradas J y K de los flip flops a un estado alto.

Figura N°22. Implementación del contador asíncrono

Figura N°23. Diagrama de tiempos para el probe X1

Circuitos digitales

22

Figura N°24. Diagrama de tiempos para el probe X2

Figura N°25. Diagrama de tiempos para el probe X3

Circuitos digitales

23

Figura N°26. Diagrama de tiempos para el probe X4

2. Tabla de verdad: Pulso 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

X1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

X2 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

X3 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

Tabla N°5. Tabla de verdad del contador asíncrono

Circuitos digitales

X4 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

24

4.5. Actividad: Contador binario Asíncrono 4.5.1.

Simulación:

Primero con la ayuda del programa Multisim se va a implementar el circuito de la figura que consta de tres Interactive Digital Constant (U1, U2 y U4), un clock (U3), cuatro flip flops de código 74LS76D (U6A, U6B, U7A y U7B), un osciloscopio (XSC1), una compuerta lógica NOT de código 74LS04D (U5A), una compuerta lógica AND de código 74LS08D (U9A), una compuerta lógica NAND de tres entradas de código 74LS10D (U8A) y cuatro probes de color verde (X1, X2, X3 y X4). Todos los PRESET y CLEAR se conectarán en estado alto, también se conectará el clock a todos los flip flops.

Figura N°27. Implementación del contador síncrono

Figura N°28. Diagrama de tiempos para el probe X1

Circuitos digitales

25

Figura N°29. Diagrama de tiempos para el probe X2

Figura N°30. Diagrama de tiempos para el probe X3

Figura N°31. Diagrama de tiempos para el probe X3

Circuitos digitales

26

2. Tabla de verdad: Pulso 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

X1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

X2 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

X3 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

Tabla N°6. Tabla de verdad del contador síncrono

Circuitos digitales

X4 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

27

5. OBSERVACIONES  En el primer circuito de registro de desplazamiento, mientras las salidas de los flip-flops estén en alto, al activar el reset en 1, las salidas pasan casi instantáneamente y todas al mismo tiempo a 0 lógico, pero para el caso de tener las salidas en 0 por causa de tener la entrada JK’ en bajo, al activar el reset no afecta en nada las salidas de los flip-flops.  En el segundo circuito una observación muy importante es la siguiente: cuando este activo el registro de desplazamiento con el CM en 1 y si activamos el desplazamiento del estado bajo (S=0), dependiendo de las salidas en el estado en que se encuentran se determinará el número de pulsaciones de reloj para que todas pasen a 0, ejemplo: si se tiene X1, X2, X3 en bajo y sólo X4 en alto entonces el reloj sólo tardará un flanco de subida para que todas las salidas estén en 0. También se cumple lo mismo si se quiere que el desplazamiento sea con 1 lógico para todas las salidas.

6. CONCLUSIONES Conclusiones del primer circuito.  Este primer circuito nos permite realizar un almacenamiento de datos, ya que con cada pulsación de reloj, el primer dato que ingresa y permnece sin que cambie de estado y a su vez se desplaza al siguiente flip flop; viceversa al cambiar de estado bajo la entrada de J y Knegada , siempre y cuando el reset esté en 0.

Conclusiones del circuito registro de desplazamiento con acceso paralelo.  CM y S entradas de control para el tipo de registro que se quiere; D1, D2, D3, D4 entradas de datos a registrar.  Con la tabla de verdad claramente se puede observar que el reset fuerza automáticamente y simultáneamente con todas las salidas a obtener un 0 lógico.  Mientras CM este inactivo en 0, no se dará el registro de desplazamiento según las pulsaciones de reloj, sino que las salidas dependerán (independientemente del estado de S) de las entradas de datos D1, D2, D3, D4; a la que denominamos acceso en paralelo.  Con el CM activo en estado 1, el desplazamiento de datos depende de S, para S=0 se desplaza el estado 0, para S=1 se desplaza el estado 1.  Si mantenemos fijo el CM activo y solo cambiamos de estado S, entonces el desplazamiento de las salidas se da, tomando la salida de mayor prioridad el valor de la menor prioridad. Ejemplo: si se tiene: 0101, el siguiente estado será 0010, 0001,0000; hasta que finalmente obtenemos todas las salidas en 0 permanente. Pero si las salidas son: 0001, el reloj sólo tardará una pulsación para tener todas en 0 (viceversa si se quiere desplazar de 0 a 1).

Conclusiones generales  Se puede concluir que los flip-flop son dispositivos de fácil funcionamiento e interpretación sobre todo los sincrónicos, debido a que los cambios de las salidas son eventos esperados (ya que fácilmente podemos saber el estado de cada una de las entradas o salidas sin que estas cambien repentinamente), y los cambios dependen del control de una sola señal aplicada a todos los registros, la señal de RELOJ.  La señal de reloj es una onda cuadrada o rectangular, los registros que funcionan con esta señal, sólo pueden cambiar cuando la señal de reloj hace una transición, también llamados flancos, por lo tanto, la señal de reloj sólo puede hacer 2 transiciones (o Flancos).

Circuitos digitales

28

7. APLICACIÓN Determinar el diagrama de tiempos del siguiente circuito:

Para poder determinar el diagrama de tiempos del circuito es necesario saber el diagrama interno de cada compuerta.

Fuente: datasheet.

Circuitos digitales

29

  

Se muestra la simulación del circuito donde por cuestiones de practicidad es recomendable determinar la el diagrama entre las pulsaciones de reloj y de salida. La forma de comprobarlo sería en el laboratorio llevando el circuito implementado. Se debe tener en cuenta la frecuencia de pulsos de reloj en caso de ser con un timer 555, este se puede variar usando un potenciómetro. XSC1 G T A

B

C

D

X1 VCC

2.5 V 5.0V U1A

4

U3A

1J

2 1Q

7432N

1CLK 1K

U2A

~1PR

~1PR

3

~1Q

1Q

5

~1Q

6

1D

1CLK

~1CLR

~1CLR

U4A 7476N

U5

1

7474N

7432N

10Hz

Podemos observar que par ocho ciclos de reloj, se tiene mayor a 4 pulsaciones de la salida, pero menor a 5, esto puede variar en un circuito físico, por lo cual es recomendable la implementación para visualizar las señales en el oscilosopio.

Circuitos digitales

30

8. BIBLIOGRAFÍA Leal, C. Fundamentos de diseño digital. Alfa y omega, Circuitos digitales.

Circuitos digitales