Galaxy

  Instituto Tecnológico de Minatitlán   Tutorial: Galaxy®  Guía rápida del uso del programa Galaxy®               

Views 652 Downloads 192 File size 3MB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

 



Instituto Tecnológico de Minatitlán  

Tutorial: Galaxy®  Guía rápida del uso del programa Galaxy®                                                      Dr. José de Jesús Moreno Vázquez       

 

Materia: Diseño Digital con VHDL   

 

Tutorial de operación del programa Galaxy® 



Contenido 1. Introducción ............................................................................................................................................ 2 2. Utilización del Programa Galaxy® ................................................................................................ 2 3. Configuración de salida de las patillas de la GAL22V10 ....................................................... 9 4. Conversión de un archivo PAL a GAL ........................................................................................ 10 5. Como cargar el archivo .jed en la GAL en proteus ................................................................ 11  

Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

1. Introducción Uno de los programa que también pueden utilizarse para programar en VHDL y trabajar con PLD`s es el ispLEVER Classic del fabricante Lattice Semiconductor el cual se puede obtener gratuitamente en su pagina (http://www.latticesemi.com/dynamic/in dex.cfm?fuseaction=view_documents&document_type=154&sloc=01‐01‐07‐07), pero deben registrarse con una cuenta institucional para que se les proporcione una licencia. Si no se tiene cuenta institucional, entonces pueden conseguir navegando en el internet una versión anterior llamada Warp® Release 6.3, sobre la cual se dará una explicación a través del programa Galaxy® útil en la captura, síntesis e implementación y el paquete de simulación HDL‐Sim® necesario para la simulación de los diseños. Este tutorial muestra los pasos a seguir para seleccionar el dispositivo PLD y el diseño de sistemas digitales mediante el lenguaje de descripción hardware (HDL), con VHDL. Se ha elegido el dispositivo lógico programable (PLD) PAL22v10 para realizar los ejemplos de la clase u su respectiva simulación en proteus, así como, su implementación en el laboratorio.

2. Utilización del Programa Galaxy®

El uso del software se hará mediante el desarrollo de un ejemplo sencillo para indicar todos los pasos a seguir para generar el archivo con extensión .jed, necesario para la simulación y programación de la GAL. Ejemplo: Para que un portó n se abra es necesario que esté n activados los interruptores a1 y a2 ó b1 y b2 simultá neamente, el cierre de la puerta se produce automá ticamente,

transcurrido un tiempo. Existe una protecció n para evitar que la puerta baje cuando hay un coche debajo. La lá mpara L permanecerá encendida cuando la puerta esté cerrada. Diseñ ar el circuito y el có digo necesario para la apertura de la puerta, exclusivamente, y para el control de la lá mpara. Tabla 1. Tabla de verdad el ejemplo a1 a2 b1 b2 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111

ML 01 01 01 10 01 01 01 10 01 01 01 10 10 10 10 10

Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

Ejecutar programa Galaxy®: INICIO> TODOS LOS PROGRAMAS> CYPRESS> WARP R6.3> GALAXY® Una vez abierta la ventana del programa Galaxy® (Figura 1), del menú seleccione file y New, se abrirá la ventana mostrada en la Figura 2, seleccione project (target‐device) y oprima OK.

Figura 1. FILE> NEW

 

Figura 2. Elegir PROJECT (TARGET‐DEVICE) > OK

Inmediatamente aparecerá la ventana mostrada en la Figura 3, proceda a crear una carpeta en la raíz del disco principal o donde desee, en este caso la carpeta esta en D:\PROGRAMAS_VHDL‐2013\tuto, así, el programa a realizar se guardará en la carpeta tuto. Elija un nombre preferentemente corto de no más de 8 caracteres, por ejemplo pract1 y se oprime siguiente, aparecerá la ventana de la Figura 4.

Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

 

Figura 3. Nombre del proyecto

  Figura 4. Oprimir siguiente

Nuevamente oprima siguiente y aparecerá la ventana de la Figura 5. Maximice   +  oprimiendo el signo    , ubicado a lado del termino SPLD (Small PLDs), 

Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

  Figura 5. Maximizar oprimiendo el signo más que esta a lado de SPLD (Small PLDs)

Se desplegará la ventana de la Figura 6. Ahora en Device se elige el dispositivo c22v10 y en Package se selecciona PALCE22V10‐25PC/PI y se oprime finalizar y aparecerá el mensaje siguiente: Do you want to save the new Project elegir si.

  Figura 6. Seleccionar c22v10

Ahora en el menú elegimos File>New, aparecerá la ventana de la Figura 7  y se selecciona  Text File y se oprime OK y aparecerá la ventana de la Figura 8.    Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

  Figura 7. Selección de ventana para escribir código

  Figura 8. Espacio de trabajo para código VHDL

Ahora en el menú se elige File> save as y buscan la carpeta tuto y ponen un nombre corto con extensión .vhd (ejemplo: progra.vhd) y se oprime guardar, esto puede observarse en la figura 9.  

Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

  Figura 9. Guardado de hoja de trabajo

Ahora ya se puede escribir el código del programa como se aprecia en la Figura 10.

  Figura 10. Ejemplo de código VHDL

Una vez escrito el código VHDL, se procede a agregar el código al proyecto, del menú elegir Project > Add all Files, como se aprecia en la figura 11.

Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

  Figura 11. Adicionando programa al proyecto

Agregado el programa al proyecto, del lado superior derecho aparecerá el nombre del archivo en donde se escribió el código VHDL (ver Figura 12).

 

Figura 12. Archivo adicionado al proyecto

Ahora se procederá a compilar el archivo para verificar que no existen errores, para ello seleccione del menú Compile > Project (Ver Figura 13).

 

Figura 13. Compilación de código VHDL

Si el programa no contiene errores se mostrará un mensaje como el que se muestra en la Figura 14.

Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

 

Figura 14. Mensaje de que el programa se compiló sin errores

3. Configuración de salida de las patillas de la GAL22V10 Para saber cómo quedo configurada las terminales de la GAL22V10, basta con seleccionar la pestaña Output Files View que se encuentra en la parte inferior izquierda de la ventana y dar doble “click” sobre pinout y mostrará la ventana de la Figura 15. Para ver características de espacio que queda en el dispositivo y las ecuaciones que son implementadas en la GAL desplácese con la barra de scroll (marcada con un círculo).

 

Figura 15. Pinout de la GAL

En este punto ya se ha generado el archivo progra.jed dentro de la carpeta que se eligió al inicio para guardar el proyecto.

Nota: Como el programa Galaxy ® genera un archivo con extensión .jed para una PAL (ver figura 6 donde se seleccionó PALCE22V10‐25PC/PI ), este archivo no puede usarse en los PLD que contiene proteus ya que estos son considerados como GAL que fueron una modificación de mejora de los primeros PAL. Entonces para poder usar las GAL que están en el programa proteus es necesario convertir el archivo para ello en la siguiente sección se indicará como hacerlo. Dr. José de Jesús Moreno Vázquez 



Tutorial de operación del programa Galaxy® 

4. Conversión de un archivo PAL a GAL Para realizar la conversión de un archivo PAL al de una GAL se empleará el programa PALTOGAL.EXE, el cual es un pequeño programa que se ejecuta en ambiente DOS de Windows. Para mayor facilidad ponemos el archivo del programa PALTOGAL.EXE en la carpeta donde este el archivo con extensión .jed. Ahora se procede a ejecutar el programa PALTOGAL.EXE y aparecerá la pantalla mostrada en la Figura 16

 

Figura 16. Programa PALTOGAL.EXE

Sobre la ventana de DOS oprimir tecla Enter (Entrar o Intro) y aparecerá la ventana de la Figura 17, la cual permitirá que se elija la conversión entre PAL a GAL.

  Figura 17. Menú de selección de componente a convertir

Para la convertir de la PAL22V10 a GAL22V10 se escribe el número 47 y aparece la pregunta que pide poner el nombre del archivo con extensión .jed a convertir se oprime la tecla Enter y ahora se pregunta el nombre del archivo de salida con extensión .jed, (para perder el archivo original con extensión .jed, preferiblemente puede ponerse otro nombre pero con la extensión .jed), nuevamente se oprime Enter y se escribe la letra H y se oprime la tecla Enter y nuevamente Enter y la ventana se cerrará sola. Todo el proceso descrito puede observarse en la Figura 18.

Dr. José de Jesús Moreno Vázquez 

10 

Tutorial de operación del programa Galaxy® 

  Figura 18. Procedimiento de conversión

Ahora si, en la carpeta elegida para guardar el proyecto debe aparecer el nuevo archivo con extensión .jed. Este archivo ya se puede usarse para simular el circuito en proteus y para programar la GAL22V10 si se desea.

5. Como cargar el archivo .jed en la GAL en proteus Se procede abrir el programa ISIS: INICIO> TODOS LOS PROGRAMAS>PROTEUS 7 PROFESSIONAL> ISIS 7 PROFESSIONAL

Abierto el programa, se busca en la librería de PLD & FPGAs el componente AM22V10 el cual aparecerá como se muestra en la Figura 19.  

  Figura 19. Representación de la GAL22V10 en proteus



Dr. José de Jesús Moreno Vázquez 

11 

Tutorial de operación del programa Galaxy® 

Dando doble “click” sobre el componente aparecerá la ventana de la Figura 20. En la parte donde dice JEDEC Fuse Map File, oprimiendo el icono de la carpeta (circulo rojo) se abrirá la opción para buscar el archivo .jed convertido (para el ejemplo progra1.jed) donde se guardo todo el proyecto del código VHDL.

 

Figura 20. Carga del archivo con extensión .jed a la GAL

Una vez cargado el programa ya puede implementarse todo el circuito y simular. En la Figura 21 se muestra una forma en que queda montado el circuito y a través del cual puede comprobarse la tabla del ejemplo realizado.

 

Figura 21. Circuito eléctrico del ejemplo

Dr. José de Jesús Moreno Vázquez 

12