Decodificador

---------------------------------------------------------------------------------- Company: IIIE -- Engineer: Carlos Bra

Views 126 Downloads 5 File size 9KB

Report DMCA / Copyright

DOWNLOAD FILE

Recommend stories

Citation preview

---------------------------------------------------------------------------------- Company: IIIE -- Engineer: Carlos Bran --- Create Date: 10:24:54 02/09/2015 -- Design Name: -- Module Name: decoder - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: --- Dependencies: --- Revision: -- Revision 0.01 - File Created -- Additional Comments: ---------------------------------------------------------------------------------library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decoder is Port ( inp_code : in STD_LOGIC_VECTOR (3 downto 0); enable : in STD_LOGIC; ssd_out : out STD_LOGIC_VECTOR (7 downto 0)); end decoder; architecture Behavioral of decoder is signal output1: STD_LOGIC_VECTOR (7 downto 0); signal output2: STD_LOGIC_VECTOR (7 downto 0); begin output1